Predict, Share, and Recycle Your Way to Low-power Nanophotonic Networks
暂无分享,去创建一个
[1] M. Lipson,et al. Low loss etchless silicon photonic waveguides , 2009, 2009 Conference on Lasers and Electro-Optics and 2009 Conference on Quantum electronics and Laser Science Conference.
[2] A. Enard,et al. High-Power, Low RIN 1.55-$\mu{\rm m}$ Directly Modulated DFB Lasers for Analog Signal Transmission , 2012, IEEE Photonics Technology Letters.
[3] Kerry J. Vahala,et al. Erbium-doped and Raman microlasers on a silicon chip fabricated by the sol–gel process , 2005 .
[4] Kevin Skadron,et al. Many-core design from a thermal perspective , 2008, 2008 45th ACM/IEEE Design Automation Conference.
[5] P. Pagnod-Rossiaux,et al. Ultra high power, ultra low RIN up to 20 GHz 1.55 μm DFB AlGaInAsP laser for analog applications , 2010, OPTO.
[6] Kevin Skadron,et al. HotSpot 6.0: Validation, Acceleration and Extension , 2015 .
[7] Hyundai Park,et al. 1310nm Silicon Evanescent Laser , 2007, 2007 4th IEEE International Conference on Group IV Photonics.
[8] Alexander O. Govorov,et al. Generating heat with metal nanoparticles , 2007 .
[9] Smruti R. Sarangi,et al. A Survey of On-Chip Optical Interconnects , 2019, ACM Comput. Surv..
[10] Christopher Batten,et al. Silicon-photonic clos networks for global on-chip communication , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.
[11] Ahmad Khonsari,et al. Mathematical analysis of buffer sizing for Network-on-Chips under multimedia traffic , 2008, 2008 IEEE International Conference on Computer Design.
[12] George Kurian,et al. ATAC: A 1000-core cache-coherent processor with on-chip optical network , 2010, 2010 19th International Conference on Parallel Architectures and Compilation Techniques (PACT).
[13] Mustafa Altun,et al. Accurate Synthesis of Arithmetic Operations with Stochastic Logic , 2016, 2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).
[14] Pramod Kumar Meher. An optimized lookup-table for the evaluation of sigmoid function for artificial neural networks , 2010, VLSI-SoC.
[15] K. Bergman,et al. Resolving the thermal challenges for silicon microring resonator devices , 2014 .
[16] Rajeev J. Ram,et al. Single-chip microprocessor that communicates directly using light , 2015, Nature.
[17] J. Hao,et al. Nearly total absorption of light and heat generation by plasmonic metamaterials , 2011 .
[18] J. Michel,et al. Ge-on-Si laser operating at room temperature. , 2010, Optics letters.
[19] Arvind Kumar,et al. Three-dimensional integrated circuits , 2006, IBM J. Res. Dev..
[20] Pramodita Sharma. 2012 , 2013, Les 25 ans de l’OMC: Une rétrospective en photos.
[21] J. Kalkman,et al. Demonstration of an erbium doped microdisk laser on a silicon chip , 2006, 2006 Conference on Lasers and Electro-Optics and 2006 Quantum Electronics and Laser Science Conference.
[22] A. Enard,et al. High-Power Tunable Dilute Mode DFB Laser With Low RIN and Narrow Linewidth , 2013, IEEE Photonics Technology Letters.
[23] Li Zhou,et al. PROBE: Prediction-based optical bandwidth scaling for energy-efficient NoCs , 2013, 2013 Seventh IEEE/ACM International Symposium on Networks-on-Chip (NoCS).
[24] Klaus Petermann,et al. Singlemode optical waveguides using a high temperature stable polymer with low losses in the 1.55 /spl mu/m range , 1997 .
[25] Mikko H. Lipasti,et al. Wavelength stealing: An opportunistic approach to channel sharing in multi-chip photonic interconnects , 2013, 2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[26] Graham T. Reed,et al. Silicon Photonics: The State of the Art , 2008 .
[27] M. Romagnoli,et al. An electrically pumped germanium laser. , 2012, Optics express.
[28] Fang Xu,et al. Cascaded active silicon microresonator array cross-connect circuits for WDM networks-on-chip , 2008, SPIE OPTO.
[29] Julio Sahuquillo,et al. Accurately modeling a photonic NoC in a detailed CMP simulation framework , 2016, 2016 International Conference on High Performance Computing & Simulation (HPCS).
[30] Ahmed Louri,et al. OWN: Optical and Wireless Network-on-Chip for Kilo-core Architectures , 2015, 2015 IEEE 23rd Annual Symposium on High-Performance Interconnects.
[31] J. Fleissner,et al. Vertically compact 15 GHz GaAs/AlGaAs multiple quantum well laser grown by molecular beam epitaxy , 1991 .
[32] Wei Li,et al. Probing and controlling photothermal heat generation in plasmonic nanostructures. , 2013, Nano letters.
[33] Smruti R. Sarangi,et al. NUPLet: A Photonic Based Multi-Chip NUCA Architecture , 2017, 2017 IEEE International Conference on Computer Design (ICCD).
[34] William J. Dally,et al. Flattened Butterfly Topology for On-Chip Networks , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
[35] J. Lázaro,et al. Graphene Silicon ring resonators for wavelength routers in Photonic Network-on-Chip , 2015, 2015 17th International Conference on Transparent Optical Networks (ICTON).
[36] Wei Zhang,et al. A Hierarchical Hybrid Optical-Electronic Network-on-Chip , 2010, 2010 IEEE Computer Society Annual Symposium on VLSI.
[37] Tao Li,et al. ESPN: A case for energy-star photonic on-chip network , 2013, International Symposium on Low Power Electronics and Design (ISLPED).
[38] Anatole Lupu,et al. Comparison between strip and rib SOI microwaveguides for intra-chip light distribution , 2005 .
[39] Wan-Gyu Lee,et al. Silicon photonic temperature sensor employing a ring resonator manufactured using a standard CMOS process. , 2010, Optics express.
[40] C. Martin. 2015 , 2015, Les 25 ans de l’OMC: Une rétrospective en photos.
[41] Robert Hecht-Nielsen,et al. Theory of the backpropagation neural network , 1989, International 1989 Joint Conference on Neural Networks.
[42] Nikolaos Hardavellas,et al. SLaC: Stage laser control for a flattened butterfly network , 2016, 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA).
[43] David H. Albonesi,et al. Phastlane: a rapid transit optical routing network , 2009, ISCA '09.
[44] Prathmesh Kallurkar,et al. Tejas: A java based versatile micro-architectural simulator , 2015, 2015 25th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS).
[45] Chita R. Das,et al. Design and evaluation of a hierarchical on-chip interconnect for next-generation CMPs , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.
[46] Jung Ho Ahn,et al. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[47] Min Qiu,et al. Photothermal reshaping of gold nanoparticles in a plasmonic absorber. , 2011, Optics express.
[48] Ahmed Louri,et al. Extending the Power-Efficiency and Performance of Photonic Interconnects for Heterogeneous Multicores with Machine Learning , 2018, 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA).
[49] Zhe Wang,et al. An Inter/Intra-Chip Optical Network for Manycore Processors , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[50] Anoop Gupta,et al. The SPLASH-2 programs: characterization and methodological considerations , 1995, ISCA.
[51] Smruti R. Sarangi,et al. ColdBus: A Near-Optimal Power Efficient Optical Bus , 2015, 2015 IEEE 22nd International Conference on High Performance Computing (HiPC).
[52] S. M. García,et al. 2014: , 2020, A Party for Lazarus.
[53] Gunnar Arisholm,et al. A 22-watt mid-infrared optical parametric oscillator with V-shaped 3-mirror ring resonator. , 2010, Optics express.
[54] Yu Zhang,et al. Firefly: illuminating future network-on-chip with nanophotonics , 2009, ISCA '09.
[55] Romain Quidant,et al. Heat generation in plasmonic nanostructures: Influence of morphology , 2009 .
[56] Kai Li,et al. The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).
[57] E. Griese. A high-performance hybrid electrical-optical interconnection technology for high-speed electronic systems , 2001 .
[58] Ultra-high power, low RIN and narrow linewidth lasers for 1550nm DWDM 100km long-haul fiber optic link , 2008, LEOS 2008 - 21st Annual Meeting of the IEEE Lasers and Electro-Optics Society.
[59] Min Yang,et al. A 90nm CMOS integrated Nano-Photonics technology for 25Gbps WDM optical communications applications , 2012, 2012 International Electron Devices Meeting.
[60] Sudeep Pasricha,et al. OPAL: A multi-layer hybrid photonic NoC for 3D ICs , 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).
[61] M.J. Kobrinsky,et al. Comparisons of conventional, 3-D, optical, and RF interconnects for on-chip clock distribution , 2004, IEEE Transactions on Electron Devices.
[62] Norman P. Jouppi,et al. A high-speed optical multi-drop bus for computer interconnections , 2008, 2008 16th IEEE Symposium on High Performance Interconnects.
[63] Keren Bergman,et al. Photonic network-on-chip architectures using multilayer deposited silicon materials for high-performance chip multiprocessors , 2011, JETC.
[64] S. Sarangi,et al. SpliESR: Tunable Power Splitter Based on an Electro-Optic Slotted Ring Resonator , 2019, Optics Communications.
[65] Cheng Li,et al. Nano-Photonic Networks-on-Chip for Future Chip Multiprocessors , 2015 .
[66] Smruti R. Sarangi,et al. BigBus: A Scalable Optical Interconnect , 2019, ACM J. Emerg. Technol. Comput. Syst..
[67] Payman Zarkesh-Ha,et al. Modeling NoC traffic locality and energy consumption with rent's communication probability distribution , 2010, SLIP '10.
[68] Venkatesh Akella,et al. Addressing system-level trimming issues in on-chip nanophotonic networks , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.
[69] Yuan Xie,et al. 3D optical networks-on-chip (NoC) for multiprocessor systems-on-chip (MPSoC) , 2009, 2009 IEEE International Conference on 3D System Integration.
[70] Arun Natarajan,et al. Electrochemical deposition of metals onto silicon , 1998 .
[71] Naoya Onizawa,et al. VLSI Implementation of Deep Neural Network Using Integral Stochastic Computing , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[72] Hui Li,et al. Energy-efficient and temperature-stable high-speed VCSELs for optical interconnects , 2013, 2013 15th International Conference on Transparent Optical Networks (ICTON).
[73] Kevin Skadron,et al. Scaling with Design Constraints: Predicting the Future of Big Chips , 2011, IEEE Micro.
[74] Kent D. Choquette,et al. Monolithically integrated VCSELs and photodetectors for microsystem applications , 1998, Conference Proceedings. LEOS'98. 11th Annual Meeting. IEEE Lasers and Electro-Optics Society 1998 Annual Meeting (Cat. No.98CH36243).
[75] Ashok V. Krishnamoorthy,et al. Silicon-photonic network architectures for scalable, power-efficient multi-chip systems , 2010, ISCA '10.
[76] Avinash Karanth Kodi,et al. Extending the Performance and Energy-Efficiency of Shared Memory Multicores with Nanophotonic Technology , 2014, IEEE Transactions on Parallel and Distributed Systems.
[77] P. Kapur,et al. Comparisons between electrical and optical interconnects for on-chip signaling , 2002, Proceedings of the IEEE 2002 International Interconnect Technology Conference (Cat. No.02EX519).
[78] Qianfan Xu,et al. 12.5 Gbit/s carrier-injection-based silicon micro-ring silicon modulators. , 2007, Optics express.
[79] Di Liang,et al. A Distributed Bragg Reflector Silicon Evanescent Laser , 2008, IEEE Photonics Technology Letters.
[80] Jiwen Zheng,et al. Nanopatterned Assembling of Colloidal Gold Nanoparticles on Silicon , 2000 .
[81] Nikolaos Hardavellas,et al. Galaxy: a high-performance energy-efficient multi-chip architecture using photonic interconnects , 2014, ICS '14.
[82] Andrea Bianco,et al. Optical interconnection networks based on microring resonators , 2012, IEEE/OSA Journal of Optical Communications and Networking.
[83] Cheng Li,et al. An Energy-Efficient Silicon Microring Resonator-Based Photonic Transmitter , 2014, IEEE Design & Test.
[84] Smruti R. Sarangi,et al. OptiKit : An Open Source Kit for Simulation of On-Chip Optical Components , 2013 .
[85] Huaxi Gu,et al. Design of 3D Optical Network on Chip , 2009, 2009 Symposium on Photonics and Optoelectronics.
[86] Shaahin Hessabi,et al. All-Optical Wavelength-Routed Architecture for a Power-Efficient Network on Chip , 2014, IEEE Transactions on Computers.
[87] Xiang Zhang,et al. A multilayer nanophotonic interconnection network for on-chip many-core communications , 2010, Design Automation Conference.
[88] Sudeep Pasricha,et al. SWIFTNoC: A Reconfigurable Silicon-Photonic Network with Multicast-Enabled Channel Sharing for Multicore Architectures , 2017, ACM J. Emerg. Technol. Comput. Syst..
[89] Ciyuan Qiu,et al. Wavelength tracking with thermally controlled silicon resonators. , 2011, Optics express.
[90] Duane C. Karns,et al. Heat-assisted magnetic recording by a near-field transducer with efficient optical energy transfer , 2009 .
[91] Martin T. Hagan,et al. Neural network design , 1995 .
[92] Christopher Batten,et al. Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics , 2008, 2008 16th IEEE Symposium on High Performance Interconnects.
[93] Xianshu Luo,et al. High Efficiency Ring-Resonator Filter With NiSi Heater , 2012, IEEE Photonics Technology Letters.
[94] Sebastian Werner,et al. Amon: An Advanced Mesh-like Optical NoC , 2015, 2015 IEEE 23rd Annual Symposium on High-Performance Interconnects.
[95] Andrew B. Kahng,et al. ORION 2.0: A Power-Area Simulator for Interconnection Networks , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[96] A. Enard,et al. High Optical Power, High Gain and High Dynamic Range Directly Modulated Optical Link , 2013, Journal of Lightwave Technology.
[97] Smruti R. Sarangi,et al. Optimal Power Efficient Photonic SWMR Buses , 2015, 2015 Workshop on Exploiting Silicon Photonics for Energy-Efficient High Performance Computing.
[98] José F. Martínez,et al. A power-efficient all-optical on-chip interconnect using wavelength-based oblivious routing , 2010, ASPLOS XV.
[99] Chubing Peng,et al. Optical Transducers for Near Field Recording , 2006 .
[100] Mark Horowitz,et al. 1.1 Computing's energy problem (and what we can do about it) , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).
[101] Natalie D. Enright Jerger,et al. QuT: A low-power optical Network-on-Chip , 2014, 2014 Eighth IEEE/ACM International Symposium on Networks-on-Chip (NoCS).
[102] G. Roelkens,et al. 1310-nm Hybrid III–V/Si Fabry–Pérot Laser Based on Adhesive Bonding , 2011, IEEE Photonics Technology Letters.
[103] R. Michalzik. VCSELs: Fundamentals, Technology and Applications of Vertical-Cavity Surface-Emitting Lasers , 2012 .
[104] Di Liang,et al. Recent progress in lasers on silicon , 2010 .
[105] Hui Chen,et al. On-Chip Optical Interconnect Roadmap: Challenges and Critical Directions , 2005, IEEE Journal of Selected Topics in Quantum Electronics.
[106] M. Humphrey. Calculation of coupling between tapered fiber modes and whispering-gallery modes of a spherical microlaser , 2004 .
[107] J. Bowers,et al. Electrically pumped hybrid AlGaInAs-silicon evanescent laser. , 2006, Optics express.
[108] Toshihiko Baba,et al. Low Loss Ultra-Small Branches in a Silicon Photonic Wire Waveguide , 2002 .
[109] R. Langer,et al. Enhanced photothermal effect of plasmonic nanoparticles coated with reduced graphene oxide. , 2013, Nano letters.
[110] John Kim,et al. FlexiShare: Channel sharing for an energy-efficient nanophotonic crossbar , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.
[111] Norman P. Jouppi,et al. CACTI 6.0: A Tool to Model Large Caches , 2009 .
[112] Jung Ho Ahn,et al. Corona: System Implications of Emerging Nanophotonic Technology , 2008, 2008 International Symposium on Computer Architecture.
[113] Samarth Bhargava,et al. Heat-Assisted Magnetic Recording: Fundamental Limits to Inverse Electromagnetic Design , 2015 .
[114] Omri Raday,et al. A cascaded silicon Raman laser , 2008 .
[115] High-performance silicon-based multiple wavelength source , 2011, CLEO: 2011 - Laser Science to Photonic Applications.
[116] GuptaAnoop,et al. The SPLASH-2 programs , 1995 .
[117] Qianfan Xu,et al. Micrometre-scale silicon electro-optic modulator , 2005, Nature.
[118] Ashok V. Krishnamoorthy,et al. A micro-architectural analysis of switched photonic multi-chip interconnects , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).
[119] David Engel,et al. Laser Diode Modulation And Noise , 2016 .
[120] M. Watts,et al. Silicon microring modulator with integrated heater and temperature sensor for thermal control , 2010, CLEO/QELS: 2010 Laser Science to Photonic Applications.
[121] Smruti R. Sarangi,et al. Optical overlay NUCA: A high speed substrate for shared L2 caches , 2014, HiPC.
[122] Ketan Patel,et al. High-power single-mode InGaAsP/InP laser diodes for pulsed operation , 2012, OPTO.
[123] B. Jalali,et al. Silicon Photonics , 2006, Journal of Lightwave Technology.