Realistic Workload Characterization and Analysis for Networks-on-Chip Design

As silicon device scaling trends have simultaneously increased transistor density while reducing component costs, architectures incorporating multiple communicating components are becoming more common. In these systems, networks-on-chip (NOCs) connect the components for communication and NOC design is critical to the performance and efficiency of the system. Typically, in NOC design traditional synthetic workloads are used to characterize the performance of the system. Previous work shows, however, that these traditional synthetic workloads do not accurately represent the characteristics of realistic network traffic. In this paper we propose the analysis of realistic traffic via a set of new workload characteristics. We show that these characteristics more accurately correlate with network congestion in realistic workloads than traditional metrics.

[1]  Doug Burger,et al.  Implementation and Evaluation of On-Chip Network Architectures , 2006, 2006 International Conference on Computer Design.

[2]  Stephen W. Keckler,et al.  Regional congestion awareness for load balance in networks-on-chip , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.

[3]  A. J. KleinOsowski,et al.  MinneSPEC: A New SPEC Benchmark Workload for Simulation-Based Computer Architecture Research , 2002, IEEE Computer Architecture Letters.

[4]  Timothy Mark Pinkston,et al.  On Characterizing Performance of the Cell Broadband Engine Element Interconnect Bus , 2007, First International Symposium on Networks-on-Chip (NOCS'07).

[5]  Brad Calder,et al.  Phase tracking and prediction , 2003, ISCA '03.

[6]  Walter Willinger,et al.  Network traffic measurement and modeling , 1995, SIGMETRICS '95/PERFORMANCE '95.

[7]  William J. Dally,et al.  Principles and Practices of Interconnection Networks , 2004 .

[8]  Li-Shiuan Peh,et al.  Software-directed power-aware interconnection networks , 2005, CASES '05.

[9]  Brad Calder,et al.  Basic block distribution analysis to find periodic behavior and simulation points in applications , 2001, Proceedings 2001 International Conference on Parallel Architectures and Compilation Techniques.

[10]  G.E. Moore,et al.  Cramming More Components Onto Integrated Circuits , 1998, Proceedings of the IEEE.

[11]  Walter Willinger,et al.  On the self-similar nature of Ethernet traffic , 1993, SIGCOMM '93.

[12]  John L. Henning SPEC CPU2000: Measuring CPU Performance in the New Millennium , 2000, Computer.

[13]  Radu Marculescu,et al.  Towards Open Network-on-Chip Benchmarks , 2007, First International Symposium on Networks-on-Chip (NOCS'07).

[14]  Li Shang,et al.  In-Network Cache Coherence , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

[15]  Anoop Gupta,et al.  The SPLASH-2 programs: characterization and methodological considerations , 1995, ISCA.

[16]  Niraj K. Jha,et al.  Express virtual channels: towards the ideal interconnection fabric , 2007, ISCA '07.

[17]  Cristian Grecu,et al.  Application modelling and hardware description for network-on-chip benchmarking , 2009, IET Comput. Digit. Tech..

[18]  J. Bahn,et al.  A Generic Traffic Model for On-Chip Interconnection Networks , 2008 .

[19]  Li-Shiuan Peh,et al.  A Statistical Traffic Model for On-Chip Interconnection Networks , 2006, 14th IEEE International Symposium on Modeling, Analysis, and Simulation.

[20]  Karthikeyan Sankaralingam,et al.  Implementation and Evaluation of a Dynamically Routed Processor Operand Network , 2007, First International Symposium on Networks-on-Chip (NOCS'07).

[21]  Jason D. Lee,et al.  NoCBench : A Benchmarking Platform for Network on Chip , 2009 .

[22]  D. C. Hodgson,et al.  Book Review : Fundamentals of Noise and Vibration Analysis for Engineers: M.P. Norton Cambridge University Press Cambridge, UK 1989, 619 pp, $95 (hard cover) $37.50 (paperback) , 1990 .

[23]  Onur Mutlu,et al.  Express Cube Topologies for on-Chip Interconnects , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.

[24]  Radu Marculescu,et al.  On-chip traffic modeling and synthesis for MPEG-2 video applications , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[25]  Timothy G. Mattson,et al.  Parallel programming: Can we PLEASE get it right this time? , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[26]  H. Liu,et al.  Conference on Measurement and modeling of computer systems , 2001 .

[27]  David Wentzlaff,et al.  Processor: A 64-Core SoC with Mesh Interconnect , 2010 .

[28]  Josep Torrellas,et al.  Flexible Snooping: Adaptive Forwarding and Filtering of Snoops in Embedded-Ring Multiprocessors , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).

[29]  Richard G. Baraniuk,et al.  Simulation of nonGaussian long-range-dependent traffic using wavelets , 1999, SIGMETRICS '99.