NVMExplorer: A Framework for Cross-Stack Comparisons of Embedded Non-Volatile Memories

Repeated off-chip memory access to DRAM drive up operating power for data-intensive applications, and SRAM technology scaling and leakage power limits the efficiency of embedded memories. Future on-chip storage will need higher density and energy efficiency, and the actively expanding field of emerging, embeddable non-volatile memory (eNVM) technologies is providing many potential candidates to satisfy this need. Each technology proposal presents distinct trade-offs in terms of density, read, write, and reliability characteristics, and we present a comprehensive framework for navigating and quantifying these design trade-offs alongside realistic system constraints and application-level impacts. This work evaluates eNVM-based storage for a range of application and system contexts including machine learning on the edge, graph analytics, and general purpose cache hierarchy, in addition to describing a freely available (http://nvmexplorer.seas.harvard.edu/) set of tools for application experts, system designers, and device experts to better understand, compare, and quantify the next generation of embedded memory solutions.

[1]  C. Wiegand,et al.  2 MB Array-Level Demonstration of STT-MRAM Process and Performance Towards L4 Cache Applications , 2019, 2019 IEEE International Electron Devices Meeting (IEDM).

[2]  Gu-Yeon Wei,et al.  On-Chip Deep Neural Network Storage with Multi-Level eNVM , 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

[3]  Jinfeng Kang,et al.  BEOL Based RRAM with one extra-mask for low cost, highly reliable embedded application in 28 nm node and beyond , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

[4]  Tom Zhong,et al.  Achieving Sub-ns switching of STT-MRAM for future embedded LLC applications through improvement of nucleation and propagation switching mechanisms , 2016, 2016 IEEE Symposium on VLSI Technology.

[5]  T. Nasuno,et al.  Dual-Port Field-Free SOT-MRAM Achieving 90-MHz Read and 60-MHz Write Operations under 55-nm CMOS Technology and 1.2-V Supply Voltage , 2020, 2020 IEEE Symposium on VLSI Circuits.

[6]  M. H. Lee,et al.  Ferroelectric Al:HfO2 negative capacitance FETs , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

[7]  Donggyu Yim,et al.  Breakthrough of selector technology for cross-point 25-nm ReRAM , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

[8]  D. Ielmini,et al.  SiOx-based resistive switching memory (RRAM) for crossbar storage/select elements with high on/off ratio , 2016, 2016 IEEE International Electron Devices Meeting (IEDM).

[9]  Gu-Yeon Wei,et al.  MaxNVM: Maximizing DNN Storage Density and Inference Efficiency with Sparse Encoding and Error Mitigation , 2019, MICRO.

[10]  T. Endoh,et al.  First demonstration of field-free SOT-MRAM with 0.35 ns write speed and 70 thermal stability under 400°C thermal tolerance by canted SOT structure and its advanced patterning/SOT channel technology , 2019, 2019 IEEE International Electron Devices Meeting (IEDM).

[11]  S. T. Woo,et al.  Fast Switching of STT-MRAM to Realize High Speed Applications , 2020, 2020 IEEE Symposium on VLSI Technology.

[12]  Chung-Cheng Chou,et al.  A 22nm 96KX144 RRAM Macro with a Self-Tracking Reference and a Low Ripple Charge Pump to Achieve a Configurable Read Window and a Wide Operating Voltage Range , 2020, 2020 IEEE Symposium on VLSI Circuits.

[13]  Xiaochen Peng,et al.  NeuroSim+: An integrated device-to-algorithm framework for benchmarking synaptic devices and array architectures , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

[14]  Stijn Eyerman,et al.  An Evaluation of High-Level Mechanistic Core Models , 2014, ACM Trans. Archit. Code Optim..

[15]  M. Trentzsch,et al.  A 28nm HKMG super low power embedded NVM technology based on ferroelectric FETs , 2016, 2016 IEEE International Electron Devices Meeting (IEDM).

[16]  Yu-Lin Chen,et al.  13.3 A 22nm 32Mb Embedded STT-MRAM with 10ns Read Speed, 1M Cycle Write Endurance, 10 Years Retention at 150°C and High Immunity to Magnetic Field Interference , 2020, 2020 IEEE International Solid- State Circuits Conference - (ISSCC).

[17]  Gert Cauwenberghs,et al.  33.1 A 74 TMACS/W CMOS-RRAM Neurosynaptic Core with Dynamically Reconfigurable Dataflow and In-situ Transposable Weights for Probabilistic Graphical Models , 2020, 2020 IEEE International Solid- State Circuits Conference - (ISSCC).

[18]  R. Katz,et al.  Turning Logic Transistors into Secure, Multi-Time Programmable, Embedded Non-Volatile Memory Elements for 14 nm FINFET Technologies and Beyond , 2019, 2019 Symposium on VLSI Technology.

[19]  D. Edelstein,et al.  Key parameters affecting STT-MRAM switching efficiency and improved device performance of 400°C-compatible p-MTJs , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

[20]  Mark Hempstead,et al.  Evaluation of Non-Volatile Memory Based Last Level Cache Given Modern Use Case Behavior , 2019, 2019 IEEE International Symposium on Workload Characterization (IISWC).

[21]  M. Bangar,et al.  Systematic validation of 2x nm diameter perpendicular MTJ arrays and MgO barrier for sub-10 nm embedded STT-MRAM with practically unlimited endurance , 2016, 2016 IEEE International Electron Devices Meeting (IEDM).

[22]  G. Groeseneken,et al.  Vertical Ferroelectric HfO2 FET based on 3-D NAND Architecture: Towards Dense Low-Power Memory , 2018, 2018 IEEE International Electron Devices Meeting (IEDM).

[23]  R. Degraeve,et al.  Retention, disturb and variability improvements enabled by local chemical-potential tuning and controlled Hour-Glass filament shape in a novel W\WO3\Al2O3\Cu CBRAM , 2016, 2016 IEEE Symposium on VLSI Technology.

[24]  Shimeng Yu,et al.  Exploiting Hybrid Precision for Training and Inference: A 2T-1FeFET Based Analog Synaptic Weight Cell , 2018, 2018 IEEE International Electron Devices Meeting (IEDM).

[25]  Kevin Huang,et al.  An approach to embedding traditional non-volatile memories into a deep sub-micron CMOS , 2020, 2020 IEEE Symposium on VLSI Technology.

[26]  H. L. Lung,et al.  A novel low power phase change memory using inter-granular switching , 2016, 2016 IEEE Symposium on VLSI Technology.

[27]  L. Larcher,et al.  2D h-BN based RRAM devices , 2016, 2016 IEEE International Electron Devices Meeting (IEDM).

[28]  Steve S. Chung,et al.  Fully CMOS compatible 3D vertical RRAM with self-aligned self-selective cell enabling sub-5nm scaling , 2016, 2016 IEEE Symposium on VLSI Technology.

[29]  Hong Wang,et al.  Density Tradeoffs of Non-Volatile Memory as a Replacement for SRAM Based Last Level Cache , 2018, 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA).

[30]  S. Menzel,et al.  Forming-free metal-oxide ReRAM by oxygen ion implantation process , 2016, International Electron Devices Meeting.

[31]  Suman Datta,et al.  Fundamental Understanding and Control of Device-to-Device Variation in Deeply Scaled Ferroelectric FETs , 2019, 2019 Symposium on VLSI Technology.

[32]  P. Mattavelli,et al.  Truly Innovative 28nm FDSOI Technology for Automotive Micro-Controller Applications embedding 16MB Phase Change Memory , 2018, 2018 IEEE International Electron Devices Meeting (IEDM).

[33]  Hideto Hidaka,et al.  Embedded flash technology for automotive applications , 2016, 2016 IEEE International Electron Devices Meeting (IEDM).

[34]  Diana Marculescu,et al.  DeepNVM: A Framework for Modeling and Analysis of Non-Volatile Memory Technologies for Deep Learning Applications , 2020, 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[35]  Gu-Yeon Wei,et al.  MEMTI: Optimizing On-Chip Nonvolatile Storage for Visual Multitask Inference at the Edge , 2019, IEEE Micro.

[36]  R. Sporer,et al.  14nm Ferroelectric FinFET technology with steep subthreshold slope for ultra low power applications , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

[37]  T. Mikolajick,et al.  SoC compatible 1 T1 C FeRAM memory array based on ferroelectric Hf0.5Zr0.5O2 , 2020, 2020 IEEE Symposium on VLSI Technology.

[38]  David A. Patterson,et al.  Locality Exists in Graph Processing: Workload Characterization on an Ivy Bridge Server , 2015, 2015 IEEE International Symposium on Workload Characterization.

[39]  Shosuke Fujii,et al.  First demonstration and performance improvement of ferroelectric HfO2-based resistive switch with low operation current and intrinsic diode property , 2016, 2016 IEEE Symposium on VLSI Technology.

[40]  Meng-Fan Chang,et al.  A 28nm 32Kb embedded 2T2MTJ STT-MRAM macro with 1.3ns read-access time for fast and reliable read applications , 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).

[41]  Margaret Martonosi,et al.  Graphicionado: A high-performance and energy-efficient accelerator for graph analytics , 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[42]  P. Bai,et al.  Non-Volatile RRAM Embedded into 22FFL FinFET Technology , 2019, 2019 Symposium on VLSI Technology.

[43]  Hiroshi Nakamura,et al.  7.2 4Mb STT-MRAM-based cache with memory-access-aware power optimization and write-verify-write / read-modify-write scheme , 2016, 2016 IEEE International Solid-State Circuits Conference (ISSCC).

[44]  Y. Chih,et al.  A 40nm 2Mb ReRAM Macro with 85% Reduction in FORMING Time and 99% Reduction in Page-Write Time Using Auto-FORMING and Auto-Write Schemes , 2019, 2019 Symposium on VLSI Technology.

[45]  Diana Marculescu,et al.  DeepNVM++: Cross-Layer Modeling and Optimization Framework of Nonvolatile Memories for Deep Learning , 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[46]  H. Kanaya,et al.  4Gbit density STT-MRAM using perpendicular MTJ realized with compact cell structure , 2016, 2016 IEEE International Electron Devices Meeting (IEDM).

[47]  F. M. Lee,et al.  An ultra high endurance and thermally stable selector based on TeAsGeSiSe chalcogenides compatible with BEOL IC Integration for cross-point PCM , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

[48]  Tom Zhong,et al.  Reliability study of perpendicular STT-MRAM as emerging embedded memory qualified for reflow soldering at 260°C , 2016, 2016 IEEE Symposium on VLSI Technology.

[49]  Guodong Yin,et al.  A Comprehensive Model for Ferroelectric FET Capturing the Key Behaviors: Scalability, Variation, Stochasticity, and Accumulation , 2020, 2020 IEEE Symposium on VLSI Technology.

[50]  Kyungmin Jang,et al.  Experimental study on polarization-limited operation speed of negative capacitance FET with ferroelectric HfO2 , 2016, 2016 IEEE International Electron Devices Meeting (IEDM).

[51]  Meng-Fan Chang,et al.  A 28nm 1.5Mb Embedded 1T2R RRAM with 14.8 Mb/mm2 using Sneaking Current Suppression and Compensation Techniques , 2020, 2020 IEEE Symposium on VLSI Circuits.

[52]  E. Vianello,et al.  Improvement of HfO2 based RRAM array performances by local Si implantation , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

[53]  T. Endoh,et al.  14ns write speed 128Mb density Embedded STT-MRAM with endurance>1010 and 10yrs retention@85°C using novel low damage MTJ integration process , 2018, 2018 IEEE International Electron Devices Meeting (IEDM).

[54]  B. Hughes,et al.  Demonstration of a Reliable 1 Gb Standalone Spin-Transfer Torque MRAM For Industrial Applications , 2019, 2019 IEEE International Electron Devices Meeting (IEDM).

[55]  J. F. Zhang,et al.  Identify the critical regions and switching/failure mechanisms in non-filamentary RRAM (a-VMCO) by RTN and CVS techniques for memory window improvement , 2016, 2016 IEEE International Electron Devices Meeting (IEDM).

[56]  Dong Li,et al.  DESTINY: A tool for modeling emerging 3D NVM and eDRAM caches , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[57]  Yu-Lin Chen,et al.  Logic Process Compatible 40NM 16MB, Embedded Perpendicular-MRAM with Hybrid-Resistance Reference, Sub-μA Sensing Resolution, and 17.5NS Read Access Time , 2018, 2018 IEEE Symposium on VLSI Circuits.

[58]  Chung-Cheng Chou,et al.  An N40 256K×44 embedded RRAM macro with SL-precharge SA and low-voltage current limiter to improve read and write performance , 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).

[59]  Gu-Yeon Wei,et al.  Ares: A framework for quantifying the resilience of deep neural networks , 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

[60]  El Mehdi Boujamaa,et al.  A 14.7Mb/mm2 28nm FDSOI STT-MRAM with Current Starved Read Path, 52Ω/Sigma Offset Voltage Sense Amplifier and Fully Trimmable CTAT Reference , 2020, 2020 IEEE Symposium on VLSI Circuits.

[61]  B. Diény,et al.  Novel approach for nano-patterning magnetic tunnel junctions stacks at narrow pitch: A route towards high density STT-MRAM applications , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

[62]  Carlos H. Diaz,et al.  A 40nm Low-Power Logic Compatible Phase Change Memory Technology , 2018, 2018 IEEE International Electron Devices Meeting (IEDM).

[63]  Y. Chauhan,et al.  Quantitative model for switching asymmetry in perpendicular MTJ: A material-device-circuit co-design , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

[64]  Chih-Yuan Lu,et al.  A comprehensive study of 3-stage high resistance state retention behavior for TMO ReRAMs from single cells to a large array , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

[65]  B. DeSalvo,et al.  In-depth investigation of programming and reading operations in RRAM cells integrated with Ovonic Threshold Switching (OTS) selectors , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

[66]  S. Burc Eryilmaz,et al.  Four-layer 3D vertical RRAM integrated with FinFET as a versatile computing unit for brain-inspired cognitive information processing , 2016, 2016 IEEE Symposium on VLSI Technology.

[67]  Meng-Fan Chang,et al.  A 462GOPs/J RRAM-based nonvolatile intelligent processor for energy harvesting IoE system featuring nonvolatile logics and processing-in-memory , 2017, 2017 Symposium on VLSI Technology.

[68]  E. S. Jung,et al.  Highly functional and reliable 8Mb STT-MRAM embedded in 28nm logic , 2016, 2016 IEEE International Electron Devices Meeting (IEDM).

[69]  R. Hoffmann,et al.  A Multilevel FeFET Memory Device based on Laminated HSO and HZO Ferroelectric Layers for High-Density Storage , 2019, 2019 IEEE International Electron Devices Meeting (IEDM).

[70]  C. Jin,et al.  Experimental Demonstration of Ferroelectric HfO2 FET with Ultrathin-body IGZO for High-Density and Low-Power Memory Application , 2019, 2019 Symposium on VLSI Technology.

[71]  M. H. Lee,et al.  Physical thickness 1.x nm ferroelectric HfZrOx negative capacitance FETs , 2016, 2016 IEEE International Electron Devices Meeting (IEDM).

[72]  Qi Liu,et al.  8-Layers 3D vertical RRAM with excellent scalability towards storage class memory applications , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

[73]  Cong Xu,et al.  NVSim: A Circuit-Level Performance, Energy, and Area Model for Emerging Nonvolatile Memory , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[74]  C. Lam,et al.  Reliability study of a 128Mb phase change memory chip implemented with doped Ga-Sb-Ge with extraordinary thermal stability , 2016, 2016 IEEE International Electron Devices Meeting (IEDM).

[75]  Meng-Fan Chang,et al.  A 16Mb dual-mode ReRAM macro with sub-14ns computing-in-memory and memory functions enabled by self-write termination scheme , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

[76]  Chung Lam,et al.  7.3 A resistance-drift compensation scheme to reduce MLC PCM raw BER by over 100× for storage-class memory applications , 2016, 2016 IEEE International Solid-State Circuits Conference (ISSCC).

[77]  Katsuhisa Aratani,et al.  A cross point Cu-ReRAM with a novel OTS selector for storage class memory applications , 2017, 2017 Symposium on VLSI Technology.

[78]  Meng-Fan Chang,et al.  A 65nm 1Mb nonvolatile computing-in-memory ReRAM macro with sub-16ns multiply-and-accumulate for binary DNN AI edge processors , 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).

[79]  Yung-Huei Lee,et al.  Industrially Applicable Read Disturb Model and Performance on Mega-Bit 28nm Embedded RRAM , 2020, 2020 IEEE Symposium on VLSI Technology.

[80]  Yu-Lin Chen,et al.  Logic Process Compatible 40-nm 16-Mb, Embedded Perpendicular-MRAM With Hybrid-Resistance Reference, Sub- $\mu$ A Sensing Resolution, and 17.5-nS Read Access Time , 2019, IEEE Journal of Solid-State Circuits.

[81]  Saida Daisuke,et al.  Sub-3 ns pulse with sub-100 uA switching of 1x-2x nm perpendicular MTJ for high-performance embedded STT-MRAM towards sub-20 nm CMOS , 2017 .

[82]  Qing Luo,et al.  40× Retention Improvement by Eliminating Resistance Relaxation with High Temperature Forming in 28 nm RRAM Chip , 2018, 2018 IEEE International Electron Devices Meeting (IEDM).

[83]  S. Le,et al.  Probing magnetic properties of STT-MRAM devices down to sub-20 nm using spin-torque FMR , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

[84]  Jan M. Rabaey,et al.  Hyperdimensional computing with 3D VRRAM in-memory kernels: Device-architecture co-design for energy-efficient, error-resilient language recognition , 2016, 2016 IEEE International Electron Devices Meeting (IEDM).

[85]  T. Endoh,et al.  Scalability of Quad Interface p-MTJ for 1X nm STT-MRAM with 10 ns Low Power Write Operation, 10 years Retention and Endurance $> 10^{11}$ , 2020, 2020 IEEE Symposium on VLSI Technology.

[86]  Meng-Fan Chang,et al.  33.2 A Fully Integrated Analog ReRAM Based 78.4TOPS/W Compute-In-Memory Chip with Fully Parallel MAC Computing , 2020, 2020 IEEE International Solid- State Circuits Conference - (ISSCC).

[87]  Meng-Fan Chang,et al.  15.4 A 22nm 2Mb ReRAM Compute-in-Memory Macro with 121-28TOPS/W for Multibit MAC Computing for Tiny AI Edge Devices , 2020, 2020 IEEE International Solid- State Circuits Conference - (ISSCC).

[88]  Yu Wang,et al.  4.7 A 65nm ReRAM-enabled nonvolatile processor with 6× reduction in restore time and 4× higher clock frequency using adaptive data retention and self-write-termination nonvolatile logic , 2016, 2016 IEEE International Solid-State Circuits Conference (ISSCC).

[89]  T. Magis,et al.  Data retention extraction methodology for perpendicular STT-MRAM , 2016, 2016 IEEE International Electron Devices Meeting (IEDM).

[90]  Harry Chuang,et al.  22nm STT-MRAM for Reflow and Automotive Uses with High Yield, Reliability, and Magnetic Immunity and with Performance and Shielding Options , 2019, 2019 IEEE International Electron Devices Meeting (IEDM).

[91]  A. Sebastian,et al.  8-bit Precision In-Memory Multiplication with Projected Phase-Change Memory , 2018, 2018 IEEE International Electron Devices Meeting (IEDM).

[92]  Yoshishige Suzuki,et al.  Novel voltage controlled MRAM (VCM) with fast read/write circuits for ultra large last level cache , 2016, 2016 IEEE International Electron Devices Meeting (IEDM).

[93]  R. P. Robertazzi,et al.  Spin-transfer torque MRAM with reliable 2 ns writing for last level cache applications , 2019, 2019 IEEE International Electron Devices Meeting (IEDM).

[94]  Meng-Fan Chang,et al.  A ReRAM-based single-NVM nonvolatile flip-flop with reduced stress-time and write-power against wide distribution in write-time by using self-write-termination scheme for nonvolatile processors in IoT era , 2016, 2016 IEEE International Electron Devices Meeting (IEDM).

[95]  S. T. Woo,et al.  22-nm FD-SOI Embedded MRAM Technology for Low-Power Automotive-Grade-l MCU Applications , 2018, 2018 IEEE International Electron Devices Meeting (IEDM).

[96]  A. V. Davydov,et al.  An Ultra-fast Multi-level MoTe2-based RRAM , 2018, 2018 IEEE International Electron Devices Meeting (IEDM).

[98]  N. L. Chung,et al.  Superior Endurance Performance of 22-nm Embedded MRAM Technology , 2019, 2019 IEEE International Reliability Physics Symposium (IRPS).

[99]  Yiran Chen,et al.  PipeLayer: A Pipelined ReRAM-Based Accelerator for Deep Learning , 2017, 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).

[100]  Dong Wu,et al.  A novel PUF against machine learning attack: Implementation on a 16 Mb RRAM chip , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

[101]  H.-S. Philip Wong,et al.  Device and circuit optimization of RRAM for neuromorphic computing , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

[102]  Pulkit Jain,et al.  13.2 A 3.6Mb 10.1Mb/mm2 Embedded Non-Volatile ReRAM Macro in 22nm FinFET Technology with Adaptive Forming/Set/Reset Schemes Yielding Down to 0.5V with Sensing Time of 5ns at 0.7V , 2019, 2019 IEEE International Solid- State Circuits Conference - (ISSCC).

[103]  H. Mulaosmanovic,et al.  Novel ferroelectric FET based synapse for neuromorphic systems , 2017, 2017 Symposium on VLSI Technology.

[104]  Miao Hu,et al.  ISAAC: A Convolutional Neural Network Accelerator with In-Situ Analog Arithmetic in Crossbars , 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

[105]  D. Ielmini,et al.  Understanding cycling endurance in perpendicular spin-transfer torque (p-STT) magnetic memory , 2016, 2016 IEEE International Electron Devices Meeting (IEDM).

[106]  Jure Leskovec,et al.  {SNAP Datasets}: {Stanford} Large Network Dataset Collection , 2014 .

[107]  Y. Nishi,et al.  Engineering of ferroelectric switching speed in Si doped HfO2 for high-speed 1T-FERAM application , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

[108]  Masaharu Kobayashi,et al.  A Monolithic 3D Integration of RRAM Array with Oxide Semiconductor FET for In-memory Computing in Quantized Neural Network AI Applications , 2020, 2020 IEEE Symposium on VLSI Technology.

[109]  G. Ghibaudo,et al.  Understanding RRAM endurance, retention and window margin trade-off using experimental results and simulations , 2016, 2016 IEEE International Electron Devices Meeting (IEDM).

[110]  Gu-Yeon Wei,et al.  Application-driven Design Exploration for Dense Ferroelectric Embedded Non-volatile Memories , 2021, 2021 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

[111]  R. Delhougne,et al.  Co Active Electrode Enhances CBRAM Performance and Scaling Potential , 2019, 2019 IEEE International Electron Devices Meeting (IEDM).

[112]  Hideo Ohno,et al.  A sub-ns three-terminal spin-orbit torque induced switching device , 2016, 2016 IEEE Symposium on VLSI Technology.

[113]  Z. Wei,et al.  True random number generator using current difference based on a fractional stochastic model in 40-nm embedded ReRAM , 2016, 2016 IEEE International Electron Devices Meeting (IEDM).

[114]  Yongsung Ji,et al.  Embedded STT-MRAM in 28-nm FDSOI Logic Process for Industrial MCU/IoT Application , 2018, 2018 IEEE Symposium on VLSI Technology.

[115]  W. Gallagher,et al.  Reliability Demonstration of Reflow Qualified 22nm STT -MRAM for Embedded Memory Applications , 2020, 2020 IEEE Symposium on VLSI Technology.

[116]  Eric Pop,et al.  3D Monolithic Stacked 1T1R cells using Monolayer MoS2 FET and hBN RRAM Fabricated at Low (150°C) Temperature , 2018, 2018 IEEE International Electron Devices Meeting (IEDM).

[117]  ChiaHua Ho,et al.  Integrated HfO2-RRAM to achieve highly reliable, greener, faster, cost-effective, and scaled devices , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

[118]  D. Ielmini,et al.  Demonstration of hybrid CMOS/RRAM neural networks with spike time/rate-dependent plasticity , 2016, 2016 IEEE International Electron Devices Meeting (IEDM).

[119]  Meng-Fan Chang,et al.  13.4 A 22nm 1Mb 1024b-Read and Near-Memory-Computing Dual-Mode STT-MRAM Macro with 42.6GB/s Read Bandwidth for Security-Aware Mobile Devices , 2020, 2020 IEEE International Solid- State Circuits Conference - (ISSCC).

[120]  Jóakim von Kistowski,et al.  SPEC CPU2017: Next-Generation Compute Benchmark , 2018, ICPE Companion.

[121]  Natalia Gimelshein,et al.  PyTorch: An Imperative Style, High-Performance Deep Learning Library , 2019, NeurIPS.

[122]  T. Endoh,et al.  Recent progresses in STT-MRAM and SOT-MRAM for next generation MRAM , 2020, 2020 IEEE Symposium on VLSI Technology.

[123]  Tao Zhang,et al.  PRIME: A Novel Processing-in-Memory Architecture for Neural Network Computation in ReRAM-Based Main Memory , 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

[124]  Hoyoung Shin,et al.  A 28nm 10Mb Embedded Flash Memory for IoT Product with Ultra-Low Power Near-1V Supply Voltage and High Temperature for Grade 1 Operation , 2020, 2020 IEEE Symposium on VLSI Circuits.

[125]  An Chen,et al.  A review of emerging non-volatile memory (NVM) technologies and applications , 2016 .

[126]  S. Le,et al.  STT-MRAM devices with low damping and moment optimized for LLC applications at Ox nodes , 2018, 2018 IEEE International Electron Devices Meeting (IEDM).

[127]  H.-S.P. Wong,et al.  High-Density Multiple Bits-per-Cell 1T4R RRAM Array with Gradual SET/RESET and its Effectiveness for Deep Learning , 2019, 2019 IEEE International Electron Devices Meeting (IEDM).

[128]  Shimeng Yu,et al.  Ferroelectric FET analog synapse for acceleration of deep neural network training , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

[129]  M. Trentzsch,et al.  A FeFET based super-low-power ultra-fast embedded NVM technology for 22nm FDSOI and beyond , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

[130]  T. Andre,et al.  CMOS-embedded STT-MRAM arrays in 2x nm nodes for GP-MCU applications , 2017, 2017 Symposium on VLSI Technology.

[131]  Wei Tang,et al.  CASCADE: Connecting RRAMs to Extend Analog Dataflow In An End-To-End In-Memory Processing Paradigm , 2019, MICRO.

[132]  H.-S. Philip Wong,et al.  Microsecond transient thermal behavior of HfOx-based resistive random access memory using a micro thermal stage (MTS) , 2016, 2016 IEEE International Electron Devices Meeting (IEDM).

[133]  Matthew Poremba,et al.  NVMain: An Architectural-Level Main Memory Simulator for Emerging Non-volatile Memories , 2012, 2012 IEEE Computer Society Annual Symposium on VLSI.

[134]  S. O. Park,et al.  1Gbit High Density Embedded STT-MRAM in 28nm FDSOI Technology , 2019, 2019 IEEE International Electron Devices Meeting (IEDM).

[135]  Pulkit Jain,et al.  13.3 A 7Mb STT-MRAM in 22FFL FinFET Technology with 4ns Read Sensing Time at 0.9V Using Write-Verify-Write Scheme and Offset-Cancellation Sensing Technique , 2019, 2019 IEEE International Solid- State Circuits Conference - (ISSCC).

[136]  S. H. Han,et al.  Demonstration of Highly Manufacturable STT-MRAM Embedded in 28nm Logic , 2018, 2018 IEEE International Electron Devices Meeting (IEDM).

[137]  T. Andre,et al.  Technology for reliable spin-torque MRAM products , 2016, 2016 IEEE International Electron Devices Meeting (IEDM).

[138]  Guo-Wei Huang,et al.  First fully functionalized monolithic 3D+ IoT chip with 0.5 V light-electricity power management, 6.8 GHz wireless-communication VCO, and 4-layer vertical ReRAM , 2016, 2016 IEEE International Electron Devices Meeting (IEDM).

[139]  David Blaauw,et al.  An All-Weights-on-Chip DNN Accelerator in 22nm ULL Featuring 24×1 Mb eRRAM , 2020, 2020 IEEE Symposium on VLSI Circuits.

[140]  Zhiwei Li,et al.  Binary neural network with 16 Mb RRAM macro chip for classification and online training , 2016, 2016 IEEE International Electron Devices Meeting (IEDM).

[141]  Karthikeyan Sankaralingam,et al.  Dark Silicon and the End of Multicore Scaling , 2012, IEEE Micro.

[142]  T. Ghani,et al.  MRAM as Embedded Non-Volatile Memory Solution for 22FFL FinFET Technology , 2018, 2018 IEEE International Electron Devices Meeting (IEDM).

[143]  Meng-Fan Chang,et al.  7.4 A 256b-wordlength ReRAM-based TCAM with 1ns search-time and 14× improvement in wordlength-energyefficiency-density product using 2.5T1R cell , 2016, 2016 IEEE International Solid-State Circuits Conference (ISSCC).

[144]  Yung-Hsien Wu,et al.  FeFET Memory Featuring Large Memory Window and Robust Endurance of Long-Pulse Cycling by Interface Engineerlng Using High-k AlON , 2020, 2020 IEEE Symposium on VLSI Technology.

[145]  David Blaauw,et al.  A 1Mb 28nm STT-MRAM with 2.8ns read access time at 1.2V VDD using single-cap offset-cancelled sense amplifier and in-situ self-write-termination , 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).

[146]  Kevin Gimpel,et al.  ALBERT: A Lite BERT for Self-supervised Learning of Language Representations , 2019, ICLR.

[147]  M. BrightSky,et al.  A no-verification Multi-Level-Cell (MLC) operation in Cross-Point OTS-PCM : IBM/Macronix Phase Change Memory Joint Project , 2020, 2020 IEEE Symposium on VLSI Technology.