Background and Related Work

In this chapter, first important background information and then an overview of the main related work are provided. The intention of this chapter is to present the reader a good overview of the context of this book topic. Considering the broad range of related disciplines, an exhaustive state-of-the-art survey of all related literature is outside the scope. However we have done our best to ensure that everything which is sufficiently close to the topic of this book is summarized. More references, especially for direct benchmarks, are provided in the chapters that follow. Section 2.1 provides background information on the functionality of wireless communication systems. Suitable architecture styles for the implementation of wireless communication systems are reviewed in Sect. 2.2. An overview of the general system design flow, which leads to the implementation of the physical layer functionality, is provided in Sect. 2.3. In the Sect. 2.4–2.7, related work on design methodologies is reviewed. Related work on architectures and templates is reviewed in Sect. 2.8. Section 2.9 summarizes and concludes this chapter.

[1]  Gerhard Fettweis,et al.  ASIP decoder architecture for convolutional and LDPC codes , 2009, 2009 IEEE International Symposium on Circuits and Systems.

[2]  Antoine Dejonghe,et al.  Instruction set support and algorithm-architecture for fully parallel multi-standard soft-output demapping on baseband processors , 2010, 2010 IEEE Workshop On Signal Processing Systems.

[3]  Jens-Uwe Schluessler,et al.  Low power design of the X-GOLD® SDR 20 baseband processor , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[4]  Francky Catthoor,et al.  Custom Memory Management Methodology , 1998, Springer US.

[5]  Heinrich Meyr,et al.  LISA-machine description language and generic machine model for HW/SW co-design , 1996, VLSI Signal Processing, IX.

[6]  Gustavo de Veciana,et al.  Application-specific clustered VLIW datapaths: early exploration on a parameterized design space , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[7]  Dake Liu,et al.  Embedded DSP Processor Design: Application Specific Instruction Set Processors , 2008 .

[8]  Nikil D. Dutt,et al.  Code Transformations for Data Transfer and Storage Exploration Preprocessing in Multimedia Processors , 2001, IEEE Des. Test Comput..

[9]  Massimiliano Siti,et al.  Low-complexity high throughput VLSI architecture of soft-output ML MIMO detector , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[10]  Liesbet Van der Perre,et al.  Partitioning and Assignment Exploration for Multiple Modes of IEEE 802.11n Modem on Heterogeneous MPSoC Platforms , 2012, 2012 15th Euromicro Conference on Digital System Design.

[11]  Gwan S. Choi,et al.  Dynamically reconfigurable soft output MIMO detector , 2008, 2008 IEEE International Conference on Computer Design.

[12]  Wei Zhang,et al.  A datapath routing algorithm using bit regularity extraction , 2005, 2005 6th International Conference on ASIC.

[13]  P. Glenn Gulak,et al.  VLSI implementation of a WiMAX/LTE compliant low-complexity high-throughput soft-output K-Best MIMO detector , 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.

[14]  Geert Van der Plas,et al.  Analog-Digital Partitioning for Low-Power UWB Impulse Radios under CMOS Scaling , 2006, EURASIP J. Wirel. Commun. Netw..

[15]  Jason Cong,et al.  Customizable Domain-Specific Computing , 2009, IEEE Design & Test of Computers.

[16]  M. Sakao,et al.  A high-density data-path generator with stretchable cells , 1994 .

[17]  Antonios Papanikolaou Application-driven software configuration of communication networks and memory organizations , 2006 .

[18]  Markku J. Juntti,et al.  Fixed- and Floating-Point Processor Comparison for MIMO-OFDM Detector , 2011, IEEE Journal of Selected Topics in Signal Processing.

[19]  Gerhard Fettweis,et al.  Synchronous Transfer Architecture (STA) , 2004, SAMOS.

[20]  Tae-Hwan Kim,et al.  Small-area and low-energy K-best MIMO detector using relaxed tree expansion and early forwarding , 2010, 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).

[21]  William J. Dally,et al.  Programmable Stream Processors , 2003, Computer.

[22]  Scott A. Mahlke,et al.  AnySP: Anytime Anywhere Anyway Signal Processing , 2010, IEEE Micro.

[23]  Kees van Berkel,et al.  Multi-core for mobile phones , 2009, DATE.

[24]  Emanuele Viterbo,et al.  Hardware implementation of a low-complexity detector for large MIMO , 2009, 2009 IEEE International Symposium on Circuits and Systems.

[25]  G. Fettweis,et al.  A fully programmable 40 GOPS SDR single chip baseband for LTE/WiMAX terminals , 2008, ESSCIRC 2008 - 34th European Solid-State Circuits Conference.

[26]  Gerard J. M. Smit,et al.  Mapping of DSP algorithms on the MONTIUM architecture , 2003, Proceedings International Parallel and Distributed Processing Symposium.

[27]  David Novo Exploiting Adaptive Precision in Software Defined Radios (Het benutten van aanpasbare precisie in software-gedefinieerde radio's) , 2010 .

[28]  Dejan Markovic,et al.  A 1–190MSample/s 8–64 tap energy-efficient reconfigurable FIR filter for multi-mode wireless communication , 2010, 2010 Symposium on VLSI Circuits.

[29]  Ming-Der Shieh,et al.  Design of high-throughput MIMO detectors using sort-free and early-pruned techniques , 2010, TENCON 2010 - 2010 IEEE Region 10 Conference.

[30]  Xianlong Hong,et al.  Standard-cell based data-path placement utilizing regularity , 2003, ASICON 2003.

[31]  Hyunseok Lee,et al.  A Low-Power DSP for Wireless Communications , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[32]  Joseph R. Cavallaro,et al.  High-Throughput Soft-Output MIMO Detector Based on Path-Preserving Trellis-Search Algorithm , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[33]  William J. Dally,et al.  Register organization for media processing , 2000, Proceedings Sixth International Symposium on High-Performance Computer Architecture. HPCA-6 (Cat. No.PR00550).

[34]  Min Li,et al.  Locality optimization in wireless applications , 2007, 2007 5th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

[35]  André Bernardus Joseph Kokkeler Analog-Digital Codesign using Coarse Quantization , 2005 .

[36]  Yuan-Sun Chu,et al.  A Low-Cost MMSE-SIC Detector for the MIMO System: Algorithm and Hardware Implementation , 2011, IEEE Transactions on Circuits and Systems II: Express Briefs.

[37]  Peter Marwedel,et al.  Source Code Optimization Techniques for Data Flow Dominated Embedded Software , 2004, Springer US.

[38]  Mirko Sauermann,et al.  Architecture and implementation of a Software-Defined Radio baseband processor , 2011, 2011 IEEE International Symposium of Circuits and Systems (ISCAS).

[39]  Bakhtiar Affendi Bin Rosdi,et al.  A methodology for automation structured datapath placement In VLSI design , 2011, 2011 IEEE Symposium on Industrial Electronics and Applications.

[40]  Feng Li,et al.  Multiplier-Free Divide, Square Root, and Log Algorithms [DSP Tips and Tricks] , 2011, IEEE Signal Processing Magazine.

[41]  Eric Rotenberg,et al.  Trace cache: a low latency approach to high bandwidth instruction fetching , 1996, Proceedings of the 29th Annual IEEE/ACM International Symposium on Microarchitecture. MICRO 29.

[42]  Peter Marwedel,et al.  Scratchpad memory: a design alternative for cache on-chip memory in embedded systems , 2002, Proceedings of the Tenth International Symposium on Hardware/Software Codesign. CODES 2002 (IEEE Cat. No.02TH8627).

[43]  Spiridon Nikolaidis,et al.  Zero-overhead loop controller that implements multimedia algorithms , 2005 .

[44]  Z. Greenfield,et al.  The TigerSHARC DSP Architecture , 2000, IEEE Micro.

[45]  Francis G. Wolff,et al.  Codesign paradigm in digital/analog tradeoffs , 1999, Twelfth Annual IEEE International ASIC/SOC Conference (Cat. No.99TH8454).

[46]  Weiyu Tang,et al.  Reducing power with an L0 instruction cache using history-based prediction , 2002, International Workshop on Innovative Architecture for Future Generation High-Performance Processors and Systems.

[47]  Scott A. Mahlke,et al.  Mobile Supercomputers for the Next-Generation Cell Phone , 2010, Computer.

[48]  Chi-Ying Tsui,et al.  A hybrid algorithm and its re-configurable architecture for MIMO detector , 2009, 2009 52nd IEEE International Midwest Symposium on Circuits and Systems.

[49]  Yifan He,et al.  Xetal-Pro: An ultra-low energy and high throughput SIMD processor , 2010, Design Automation Conference.

[50]  Gerhard Fettweis,et al.  Single Chip Programmable Baseband ASSP for 5 GHz Wireless LAN Applications , 2002 .

[51]  Erik Brockmeyer,et al.  Data Access and Storage Management for Embedded Programmable Processors , 2002, Springer US.

[52]  Ulrich Ramacher Software-Defined Radio Prospects for Multistandard Mobile Phones , 2007, Computer.

[53]  Francky Catthoor,et al.  Custom Memory Management Methodology: Exploration of Memory Organisation for Embedded Multimedia System Design , 1998 .

[54]  R. Guerrieri,et al.  XiSystem: a XiRisc-based SoC with reconfigurable IO module , 2005, IEEE Journal of Solid-State Circuits.

[55]  Giovanni De Micheli,et al.  Physical synthesis for ASIC datapath circuits , 2002, 2002 IEEE International Symposium on Circuits and Systems. Proceedings (Cat. No.02CH37353).

[56]  Dake Liu Evaluation of an Instruction Set , 2008 .

[57]  Daniel Iancu,et al.  CORDIC instruction set extensions for matrix decompositions on Software Defined Radio processors , 2009, 2009 Conference Record of the Forty-Third Asilomar Conference on Signals, Systems and Computers.

[58]  Liesbet Van der Perre,et al.  Energy-efficient run-time scalable soft-output SSFE MIMO detector architectures , 2011, HIPEAC 2011.

[59]  Kurt Keutzer,et al.  Closing the Power Gap Between ASIC & Custom , 2007 .

[60]  Dake Liu,et al.  Design of PIONEER: A case study using NoGap , 2010, 2010 Asia Pacific Conference on Postgraduate Research in Microelectronics and Electronics (PrimeAsia).

[61]  Christian Bernard,et al.  A low-power VLIW processor for 3GPP-LTE complex numbers processing , 2011, 2011 Design, Automation & Test in Europe.

[62]  Hyunseok Lee,et al.  SODA: A Low-power Architecture For Software Radio , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).

[63]  Shashank Dabral,et al.  Lessons and Experiences with High-Level Synthesis , 2009, IEEE Design & Test of Computers.

[64]  Lanying Li,et al.  Software-Hardware Partitioning Strategy Using Hybrid Genetic and Tabu Search , 2008, 2008 International Conference on Computer Science and Software Engineering.

[65]  A. Alomary,et al.  PEAS-I: A hardware/software co-design system for ASIPs , 1993, Proceedings of EURO-DAC 93 and EURO-VHDL 93- European Design Automation Conference.

[66]  Francky Catthoor,et al.  Ultra-Low Energy Domain-Specific Instruction-Set Processors , 2010 .

[67]  Javier Zalamea,et al.  Two-level hierarchical register file organization for VLIW processors , 2000, MICRO 33.

[68]  Sandro Rigo,et al.  ArchC: a systemC-based architecture description language , 2004 .

[69]  Wei Li,et al.  A domain-specific cell based ASIC design methodology for digital signal processing applications , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[70]  Henk Corporaal,et al.  Clustered loop buffer organization for low energy VLIW embedded processors , 2005, IEEE Transactions on Computers.

[71]  Mohammed Hassan,et al.  A high performance algorithm for scheduling and hardware-software partitioning on MPSoCs , 2009, 2009 4th International Conference on Design & Technology of Integrated Systems in Nanoscal Era.

[72]  Scott A. Mahlke,et al.  From SODA to scotch: The evolution of a wireless baseband processor , 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.

[73]  Andrzej Strojwas Cost effective scaling to 22nm and below technology nodes , 2011, VLSI-TSA 2011.

[74]  C. Studer,et al.  A 757Mb/s 1.5 mm2 90nm CMOS soft-input soft-output MIMO detector for IEEE 802.11n , 2010, 2010 Proceedings of ESSCIRC.

[75]  Kwang-Cheng Chen,et al.  A green software-defined communication processor for dynamic spectrum access , 2010, 21st Annual IEEE International Symposium on Personal, Indoor and Mobile Radio Communications.

[76]  Liesbet Van der Perre,et al.  A Coarse-Grained Array based Baseband Processor for 100Mbps+ Software Defined Radio , 2008, 2008 Design, Automation and Test in Europe.

[77]  Min Li,et al.  Algorithm-architecture co-design of soft-output ML MIMO detector for parallel application specific instruction set processors , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[78]  Mamoru Sawahashi,et al.  Broadband radio access: LTE and LTE-advanced , 2009, 2009 International Symposium on Intelligent Signal Processing and Communication Systems (ISPACS).

[79]  Predrag Spasojevic,et al.  ASIP data plane processor for multi-standard Interleaving and De-Interleaving , 2010, 2010 Conference Record of the Forty Fourth Asilomar Conference on Signals, Systems and Computers.

[80]  Miodrag Potkonjak,et al.  Optimizing resource utilization using transformations , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[81]  Baker Mohammad,et al.  Semi-custom design flow: Leveraging Place and route tools in Custom Circuit design , 2009, 2009 IEEE International Conference on IC Design and Technology.

[82]  Tobias G. Noll,et al.  A datapath generator for full-custom macros of iterative logic arrays , 1997, Proceedings IEEE International Conference on Application-Specific Systems, Architectures and Processors.

[83]  Mahdi Shabany,et al.  Ultra high-throughput architectures for hard-output MIMO detectors in the complex domain , 2011, 2011 IEEE 54th International Midwest Symposium on Circuits and Systems (MWSCAS).

[84]  Shuang Zhao,et al.  Reconfigurable baseband processing architecture for communication , 2011, IET Comput. Digit. Tech..

[85]  Babak Daneshrad,et al.  A Parameterized Programmable MIMO Decoding Architecture With a Scalable Instruction Set and Compiler , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[86]  Sunil P. Khatri,et al.  An efficient and regular routing methodology for datapath designsusing net regularity extraction , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[87]  Hossam A. H. Fahmy,et al.  A reconfigurable baseband processor for wireless OFDM synchronization sub-system , 2011, 2011 IEEE International Symposium of Circuits and Systems (ISCAS).

[88]  T.G. Noll,et al.  A flexible datapath generator for physical oriented design , 2001, Proceedings of the 27th European Solid-State Circuits Conference.

[89]  Stamatis Vassiliadis,et al.  The TM3270 media-processor , 2005, 38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'05).

[90]  Peter Marwedel,et al.  Embedded system design , 2021, Embedded Systems.

[91]  Henk Corporaal Microprocessor architectures - from VLIW to TTA , 1997 .

[92]  Jari Nurmi,et al.  Homogeneous MPSoC as baseband signal processing engine for OFDM systems , 2010, 2010 International Symposium on System on Chip.

[93]  Christian Bernard,et al.  A 477mW NoC-based digital baseband for MIMO 4G SDR , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

[94]  Rainer Leupers,et al.  A Scalable VLSI Architecture for Soft-Input Soft-Output Single Tree-Search Sphere Decoding , 2009, IEEE Transactions on Circuits and Systems II: Express Briefs.

[95]  Nikil D. Dutt,et al.  EXPRESSION: a language for architecture exploration through compiler/simulator retargetability , 1999, Design, Automation and Test in Europe Conference and Exhibition, 1999. Proceedings (Cat. No. PR00078).

[96]  Sanjoy K. Baruah Task Partitioning Upon Heterogeneous Multiprocessor Platforms , 2004, IEEE Real-Time and Embedded Technology and Applications Symposium.

[97]  Stamatis Vassiliadis,et al.  Sandblaster Low Power DSP , 2004 .

[98]  Liesbet Van der Perre,et al.  Early exploration for platform architecture instantiation with multi-mode application partitioning , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

[99]  Rainer Leupers,et al.  Integrated system-level modeling of network-on-chip enabled multi-processor platforms , 2006 .

[100]  Jian Wang,et al.  ePUMA: A novel embedded parallel DSP platform for predictable computing , 2010, 2010 2nd International Conference on Education Technology and Computer.

[101]  Francky Catthoor,et al.  HW/SW implementation trade-offs of MPEG-4 data-flow. Design space exploration of heterogeneous SoC platform for a data dominant algorithm , 2010 .

[102]  Erik Brockmeyer,et al.  Data and memory optimization techniques for embedded systems , 2001, TODE.

[103]  Tong Zhang,et al.  A 1.1-Gb/s 115-pJ/bit Configurable MIMO Detector Using 0.13- $\mu\hbox{m}$ CMOS Technology , 2010, IEEE Transactions on Circuits and Systems II: Express Briefs.

[104]  Markus Freericks,et al.  Describing instruction set processors using nML , 1995, Proceedings the European Design and Test Conference. ED&TC 1995.

[105]  Pei-Yun Tsai,et al.  A 4×4 64-QAM reduced-complexity K-best MIMO detector up to 1.5Gbps , 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.

[106]  Giovanni De Micheli Logic synthesis and physical design: Quo vadis? , 2011, DATE.

[107]  Sharad Malik,et al.  From ASIC to ASIP: the next design discontinuity , 2002, Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors.

[108]  Min Li,et al.  Overview of a Software Defined Downlink Inner Receiver for Category-E LTE-Advanced UE , 2011, 2011 IEEE International Conference on Communications (ICC).

[109]  Edward S. Davidson,et al.  Evaluating the Use of Register Queues in Software Pipelined Loops , 2001, IEEE Trans. Computers.

[110]  Yoshinori Takeuchi,et al.  Compiler generation techniques for embedded processors and their application to HW/SW codesign , 1999 .

[111]  Tibor Gyimóthy,et al.  Survey of code-size reduction methods , 2003, CSUR.

[112]  Liu Peng,et al.  Low power implementation of datapath using regularity , 2005 .

[113]  Naresh R. Shanbhag,et al.  An energy-efficient multiple-input multiple-output (MIMO) detector architecture , 2011, 2011 IEEE Workshop on Signal Processing Systems (SiPS).

[114]  Anthony Chun,et al.  Application of the Intel/sup /spl reg// reconfigurable communications architecture to 802.11a, 3G and 4G standards , 2004, Proceedings of the IEEE 6th Circuits and Systems Symposium on Emerging Technologies: Frontiers of Mobile and Wireless Communication (IEEE Cat. No.04EX710).

[115]  Philippe Coussy,et al.  High-Level Synthesis , 2008 .

[116]  V. Derudder,et al.  A 200Mbps+ 2.14nJ/b digital baseband multi processor system-on-chip for SDRs , 2009, 2009 Symposium on VLSI Circuits.

[117]  Nigel P. Topham,et al.  Design-Space Exploration of Resource-Sharing Solutions for Custom Instruction Set Extensions , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[118]  Naresh R. Shanbhag,et al.  High-throughput LDPC decoders , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[119]  Rainer Leupers,et al.  Customizable Embedded Processors: Design Technologies and Applications , 2006 .

[120]  Arumugam Nallanathan,et al.  On the Throughput and Spectrum Sensing Enhancement of Opportunistic Spectrum Access Cognitive Radio Networks , 2012, IEEE Transactions on Wireless Communications.

[121]  Philippe Coussy,et al.  High-Level Synthesis: from Algorithm to Digital Circuit , 2008 .

[122]  Mahmut T. Kandemir,et al.  Compiler-directed scratch pad memory optimization for embedded multiprocessors , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[123]  Kris Heyrman Control of sectioned on-chip communication , 2009 .

[124]  Yoshinori Takeuchi,et al.  Generation of application-domain Specific Instruction-set Processors , 2010, 2010 International SoC Design Conference.

[125]  Naresh R. Shanbhag Algorithms Transformation Techniques for Low-Power Wireless VLSI Systems Design , 1998, Int. J. Wirel. Inf. Networks.

[126]  Tzi-Dar Chiueh,et al.  A 74.8 mW Soft-Output Detector IC for 8 $\,\times\,$8 Spatial-Multiplexing MIMO Communications , 2010, IEEE Journal of Solid-State Circuits.

[127]  Jerome Martin,et al.  MAGALI: A Network-on-Chip based multi-core system-on-chip for MIMO 4G SDR , 2010, 2010 IEEE International Conference on Integrated Circuit Design and Technology.

[128]  J. L. Tecpanecatl-Xihuitl,et al.  Efficient Mutliplierless Polyphase FIR Filter based on New Distributed Arithmetic Architecture , 2007, 2007 Conference Record of the Forty-First Asilomar Conference on Signals, Systems and Computers.

[129]  Pascal Benoit,et al.  A Homogeneous MPSoC with Dynamic Task Mapping for Software Defined Radio , 2010, 2010 IEEE Computer Society Annual Symposium on VLSI.

[130]  Daniel Gajski,et al.  Introduction to high-level synthesis , 1994, IEEE Design & Test of Computers.

[131]  Jari Nurmi,et al.  State of the art baseband DSP platforms for Software Defined Radio: A survey , 2011, EURASIP J. Wirel. Commun. Netw..

[132]  Rudy Lauwereins,et al.  ADRES: An Architecture with Tightly Coupled VLIW Processor and Coarse-Grained Reconfigurable Matrix , 2003, FPL.

[133]  Anshul Kumar,et al.  Impact of intercluster communication mechanisms on ILP in clustered VLIW architectures , 2007, TODE.

[134]  Tae-Hwan Kim,et al.  A 2.6Gb/s 1.56mm2 near-optimal MIMO detector in 0.18µm CMOS , 2010, IEEE Custom Integrated Circuits Conference 2010.

[135]  P. Glenn Gulak,et al.  A 675 Mbps, 4 × 4 64-QAM K-Best MIMO Detector in 0.13 µm CMOS , 2012, IEEE Trans. Very Large Scale Integr. Syst..

[136]  Christoforos E. Kozyrakis,et al.  Scalable Vector Processors for Embedded Systems , 2003, IEEE Micro.

[137]  Hugo De Man,et al.  Platform Independent Data Transfer and Storage Exploration Illustrated on Parallel Cavity Detection Algorithm , 1999, PDPTA.

[138]  Jason Cong,et al.  MC-Sim: an efficient simulation tool for MPSoC designs , 2008, ICCAD 2008.

[139]  H. Shinohara,et al.  A High Density Data Path Generator With Stretchable Cells , 1992, 1992 Proceedings of the IEEE Custom Integrated Circuits Conference.

[140]  Min Li,et al.  Exploration of Soft-Output MIMO Detector Implementations on Massive Parallel Processors , 2011, J. Signal Process. Syst..

[141]  Giovanni De Micheli,et al.  Data path placement with regularity , 2000, ICCAD.

[142]  Ashok Chandra Spectrum management for future generation wireless based technology , 2009, 2009 European Wireless Technology Conference.

[143]  Henk Corporaal,et al.  Instruction Transfer And Storage Exploration for Low Energy VLIWs , 2006, 2006 IEEE Workshop on Signal Processing Systems Design and Implementation.

[144]  Ting Chen,et al.  Domain specific architecture for next generation wireless communication , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[145]  Frank Vahid,et al.  Dynamic loop caching meets preloaded loop caching-a hybrid approach , 2002, Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors.

[146]  Brett Ninness,et al.  A VLSI 8×8 MIMO Near-ML Decoder Engine , 2006, 2006 IEEE Workshop on Signal Processing Systems Design and Implementation.

[147]  Liesbet Van der Perre,et al.  High-Throughput, Low-Power Software-Defined Radio Using Reconfigurable Processors , 2011, IEEE Micro.

[148]  Nariman Moezzi Madani,et al.  Area-Efficient Antenna-Scalable MIMO Detector for K-best Sphere Decoding , 2012, J. Signal Process. Syst..

[149]  Kees Moerman,et al.  Vector Processing as an Enabler for Software-Defined Radio in Handheld Devices , 2005, EURASIP J. Adv. Signal Process..

[150]  Gwan S. Choi,et al.  Array like runtime reconfigurable MIMO detectors for 802.11n WLAN: A design case study , 2009, 2009 Asia and South Pacific Design Automation Conference.

[151]  Diederik Verkest,et al.  Concepts and Implementation of Spatial Division Multiplexing for Guaranteed Throughput in Networks-on-Chip , 2008, IEEE Transactions on Computers.

[152]  Rung-Bin Lin,et al.  Using structured ASIC to improve design productivity , 2009, Proceedings of the 2009 12th International Symposium on Integrated Circuits.

[153]  Yoshikazu Miyanaga,et al.  Scalable pipeline architecture of MMSE MIMO detector for 4×4 MIMO-OFDM receiver , 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.

[154]  R. Baines,et al.  Software defined baseband processing for 3G base stations , 2003 .

[155]  Liesbet Van der Perre,et al.  High level analysis of trade-offs across different partitioning schemes for wireless applications , 2011, 2011 IEEE Workshop on Signal Processing Systems (SiPS).

[156]  Yoshinori Takeuchi,et al.  PEAS-III: an ASIP design environment , 2000, Proceedings 2000 International Conference on Computer Design.

[157]  Dimitrios Soudris,et al.  A standard-cell library suite for deep-deep sub-micron CMOS technologies , 2011, 2011 6th International Conference on Design & Technology of Integrated Systems in Nanoscale Era (DTIS).

[158]  Johan Eilert,et al.  VLSI Implementation of a Fixed-Complexity Soft-Output MIMO Detector for High-Speed Wireless , 2010, EURASIP J. Wirel. Commun. Netw..

[159]  Hai Lin,et al.  A hierarchical design of an application-specific instruction set processor for high-throughput FFT , 2009, 2009 IEEE International Symposium on Circuits and Systems.

[160]  Ricardo E. Gonzalez,et al.  Xtensa: A Configurable and Extensible Processor , 2000, IEEE Micro.

[161]  van der,et al.  Instruction transfer and storage exploration for low energy embedded VLIWs , 2005 .

[162]  Shijue Zheng,et al.  The Application of Genetic Algorithm in Embedded System Hardware-software Partitioning , 2009, 2009 International Conference on Electronic Computer Technology.

[163]  Francky Catthoor,et al.  Distributed Loop Controller for Multithreading in Unithreaded ILP Architectures , 2009, IEEE Transactions on Computers.

[164]  William H. Mangione-Smith,et al.  Filtering Memory References to Increase Energy Efficiency , 2000, IEEE Trans. Computers.

[165]  David G. Chinnery,et al.  Closing the Power Gap between ASIC and Custom - Tools and Techniques for Low Power Design , 2005 .

[166]  Wei Wang,et al.  Integrate custom layout with ASIC back-end design flow for high performance datapath design , 2008, 2008 9th International Conference on Solid-State and Integrated-Circuit Technology.

[167]  Ranga Vemuri,et al.  Analog-digital partitioning for field-programmable mixed signal systems , 2001, Proceedings 2001 Conference on Advanced Research in VLSI. ARVLSI 2001.

[168]  Hsi-Pin Ma,et al.  A Power-Efficient Configurable Low-Complexity MIMO Detector , 2009, IEEE Trans. Circuits Syst. I Regul. Pap..

[169]  David Black-Schaffer,et al.  An Energy-Efficient Processor Architecture for Embedded Systems , 2008, IEEE Computer Architecture Letters.

[170]  H. Meyr,et al.  System-on-chip for communications: the dawn of ASIPs and the dusk of ASICs , 2003, 2003 IEEE Workshop on Signal Processing Systems (IEEE Cat. No.03TH8682).

[171]  Min Li,et al.  Novel energy-efficient scalable soft-output SSFE MIMO detector architectures , 2009, 2009 International Symposium on Systems, Architectures, Modeling, and Simulation.