Fast and exact simultaneous gate and wire sizing by Lagrangian relaxation

The paper considers simultaneous gate and wire sizing for general VLSI circuits under the Elmore delay model (W.C. Elmore, 1948). We present a fast and exact algorithm which can minimize total area subject to maximum delay bound. The algorithm can be easily modified to give exact algorithms for optimizing several other objectives (e.g. minimizing maximum delay or minimizing total area subject to arrival time specifications at all inputs and outputs). No previous algorithm for simultaneous gate and wire sizing can guarantee exact solutions for general circuits. Our algorithm is an iterative one with a guarantee on convergence to global optimal solutions. It is based on Lagrangian relaxation and "one-gate/wire-at-a-time" local optimizations, and is extremely economical and fast. For example, we can optimize a circuit with 27648 gates and wires in about 36 minutes using render 23 MB memory on an IBM RS/6000 workstation.

[1]  Chung-Ping Chen,et al.  A fast algorithm for optimal wire-sizing under Elmore delay model , 1996, 1996 IEEE International Symposium on Circuits and Systems. Circuits and Systems Connecting the World. ISCAS 96.

[2]  Optimal wiresizing under the distributed Elmore delay model , 1993, ICCAD '93.

[3]  Alberto Sangiovanni-Vincentelli,et al.  Optimization-based transistor sizing , 1988 .

[4]  Mehmet A. Cirit Transistor Sizing in CMOS Circuits , 1987, 24th ACM/IEEE Design Automation Conference.

[5]  W. C. Elmore The Transient Response of Damped Linear Networks with Particular Regard to Wideband Amplifiers , 1948 .

[6]  Sachin S. Sapatnekar,et al.  RC Interconnect Optimization under the Elmore Delay Model , 1994, 31st Design Automation Conference.

[7]  Lawrence T. Pillage,et al.  Rc Interconnect Synthesis-a Moment Fitting Approach , 1994, IEEE/ACM International Conference on Computer-Aided Design.

[8]  Sung-Mo Kang,et al.  An exact solution to the transistor sizing problem for CMOS circuits using convex optimization , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[9]  Charlie Chung-Ping Chen,et al.  Fast and exact simultaneous gate and wire sizing by Lagrangian relaxation , 1998, ICCAD.

[10]  Claude Dennis Pegden,et al.  Nonlinear Programming Theory and Algorithms , 1979 .

[11]  Marshall L. Fisher,et al.  An Applications Oriented Guide to Lagrangian Relaxation , 1985 .

[12]  David Marple Transistor Size Optimization in the Tailor Layout System , 1989, 26th ACM/IEEE Design Automation Conference.

[13]  Jason Cong,et al.  Optimal wiresizing under Elmore delay model , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[14]  Martin D. F. Wong,et al.  Greedy wire-sizing is linear time , 1998, ISPD '98.

[15]  Lawrence T. Pileggi,et al.  Simultaneous Gate and Interconnect Sizing for Circuit-Level Delay Optimization , 1995, 32nd Design Automation Conference.

[16]  Hai Zhou,et al.  Optimal non-uniform wire-sizing under the Elmore delay model , 1996, Proceedings of International Conference on Computer Aided Design.

[17]  Clarence Zener,et al.  Geometric Programming : Theory and Application , 1967 .

[18]  Jason Cong,et al.  Simultaneous driver and wire sizing for performance and power optimization , 1994, ICCAD.

[19]  Jason Cong,et al.  Simultaneous Driver And Wire Sizing For Performance And Power Optimization* , 1994, IEEE/ACM International Conference on Computer-Aided Design.

[20]  J. Cong,et al.  An efficient approach to simultaneous transistor and interconnect sizing , 1996, Proceedings of International Conference on Computer Aided Design.

[21]  M. Morris Mano,et al.  Digital Logic and Computer Design , 1979 .

[22]  Weitong Chuang,et al.  Power vs. delay in gate sizing: conflicting objectives? , 1995, ICCAD.

[23]  Yao-Wen Chang,et al.  Fast performance-driven optimization for buffered clock trees based on Lagrangian relaxation , 1996, 33rd Design Automation Conference Proceedings, 1996.

[24]  Ross Baldick,et al.  A sequential quadratic programming approach to concurrent gate and wire sizing , 1995, ICCAD.

[25]  RC interconnect synthesis—a moment fitting approach , 1994, ICCAD '94.

[26]  Hendrikus J. M. Veendrick,et al.  Short-circuit dissipation of static CMOS circuitry and its impact on the design of buffer circuits , 1984 .