Write-variation aware alternatives to replace SRAM buffers with non-volatile buffers in on-chip interconnects

With the advancement in CMOS technology and multiple processors on the chip, communication across these cores is managed by a network-on-chip (NoC). Power and performance of these NoC interconnects have become a significant factor.The authors aim to reduce the leakage power consumption of NoC buffers by the use of non-volatile spin transfer torque random access memory (STT-RAM)-based buffers. STT-RAM technology has the advantages of high density and low leakage but suffers from low endurance. This low endurance has an impact on the lifetime of the router on the whole due to unwanted write-variations governed by virtual channel (VC) allocation policies. Here various VC allocation policies that help the uniform distribution of the writes across the buffers are proposed. Iso-capacity and iso-area-based alternatives to replace SRAM buffers with STT-RAM buffers are also presented. Pure STT-RAM buffers, however, impact the network latency. To mitigate this, a hybrid variant of the proposed policies which uses alternative VCs made of SRAM technology in the case of heavy network traffic is proposed. Experimental evaluation of full system simulation shows that proposed policies reduce the write variation by 99% and improve lifetime by 3.2 times and 1093 times, respectively. Also a 55.5% gain in the energy delay product is obtained.

[1]  Yuan Xie,et al.  Hybrid Drowsy SRAM and STT-RAM Buffer Designs for Dark-Silicon-Aware NoC , 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[2]  Cong Xu,et al.  NVSim: A Circuit-Level Performance, Energy, and Area Model for Emerging Nonvolatile Memory , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[3]  Chrysostomos Nicopoulos,et al.  BlackOut: Enabling fine-grained power gating of buffers in Network-on-Chip routers , 2017, J. Parallel Distributed Comput..

[4]  John L. Henning SPEC CPU2006 benchmark descriptions , 2006, CARN.

[5]  Sriram R. Vangal,et al.  A 5-GHz Mesh Interconnect for a Teraflops Processor , 2007, IEEE Micro.

[6]  Karthikeyan Sankaralingam,et al.  Dark Silicon and the End of Multicore Scaling , 2012, IEEE Micro.

[7]  Babak Falsafi,et al.  Toward Dark Silicon in Servers , 2011, IEEE Micro.

[8]  Mark Bohr,et al.  A 30 Year Retrospective on Dennard's MOSFET Scaling Paper , 2007, IEEE Solid-State Circuits Newsletter.

[9]  Somayeh Sardashti,et al.  The gem5 simulator , 2011, CARN.

[10]  Henry Hoffmann,et al.  The Raw Microprocessor: A Computational Fabric for Software Circuits and General-Purpose Programs , 2002, IEEE Micro.

[11]  Hiroshi Nakamura,et al.  Performance, Area, and Power Evaluations of Ultrafine-Grained Run-Time Power-Gating Routers for CMPs , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[12]  George Michelogiannakis,et al.  Elastic Buffer Flow Control for On-Chip Networks , 2013, IEEE Transactions on Computers.