Scalability of Broadcast Performance in Wireless Network-on-Chip

Networks-on-Chip (NoCs) are currently the paradigm of choice to interconnect the cores of a chip multiprocessor. However, conventional NoCs may not suffice to fulfill the on-chip communication requirements of processors with hundreds or thousands of cores. The main reason is that the performance of such networks drops as the number of cores grows, especially in the presence of multicast and broadcast traffic. This not only limits the scalability of current multiprocessor architectures, but also sets a performance wall that prevents the development of architectures that generate moderate-to-high levels of multicast. In this paper, a Wireless Network-on-Chip (WNoC) where all cores share a single broadband channel is presented. Such design is conceived to provide low latency and ordered delivery for multicast/broadcast traffic, in an attempt to complement a wireline NoC that will transport the rest of communication flows. To assess the feasibility of this approach, the network performance of WNoC is analyzed as a function of the system size and the channel capacity, and then compared to that of wireline NoCs with embedded multicast support. Based on this evaluation, preliminary results on the potential performance of the proposed hybrid scheme are provided, together with guidelines for the design of MAC protocols for WNoC.

[1]  Karthik Ramani,et al.  Interconnect-Aware Coherence Protocols for Chip Multiprocessors , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).

[2]  E. Socher,et al.  A 210–227 GHz Transmitter With Integrated On-Chip Antenna in 90 nm CMOS Technology , 2013, IEEE Transactions on Terahertz Science and Technology.

[3]  Eduard Alarcón,et al.  On the Area and Energy Scalability of Wireless Network-on-Chip: A Model-Based Benchmarked Design Space Exploration , 2015, IEEE/ACM Transactions on Networking.

[4]  Onur Mutlu,et al.  Coordinated control of multiple prefetchers in multi-core systems , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[5]  Luca P. Carloni,et al.  PhoenixSim: A simulator for physical-layer analysis of chip-scale photonic interconnection networks , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[6]  Li-Shiuan Peh,et al.  Smart: Single-Cycle Multihop Traversals over a Shared Network on Chip , 2014, IEEE Micro.

[7]  Cauligi S. Raghavendra,et al.  Resource Deadlocks and Performance of Wormhole Multicast Routing Algorithms , 1998, IEEE Trans. Parallel Distributed Syst..

[8]  Hannu Tenhunen,et al.  Path-Based Partitioning Methods for 3D Networks-on-Chip with Minimal Adaptive Routing , 2014, IEEE Transactions on Computers.

[9]  Walter Willinger,et al.  On the self-similar nature of Ethernet traffic , 1993, SIGCOMM '93.

[10]  Shahriar Mirabbasi,et al.  Architecture and Design of Multichannel Millimeter-Wave Wireless NoC , 2014, IEEE Design & Test.

[11]  J. J. Garcia-Luna-Aceves,et al.  Solutions to hidden terminal problems in wireless networks , 1997, SIGCOMM '97.

[12]  David A. Patterson,et al.  Computer Architecture: A Quantitative Approach , 1969 .

[13]  Manfred Glesner,et al.  Multicast Parallel Pipeline Router Architecture for Network-on-Chip , 2008, 2008 Design, Automation and Test in Europe.

[14]  Bipin Rajendran,et al.  Characterization and Modeling , 2017 .

[15]  A. Cabellos-Aparicio,et al.  Graphene-based nano-patch antenna for terahertz radiation , 2012 .

[16]  Milo M. K. Martin,et al.  Token Coherence: decoupling performance and correctness , 2003, 30th Annual International Symposium on Computer Architecture, 2003. Proceedings..

[17]  Terrence S. T. Mak,et al.  Hybrid wire-surface wave interconnects for next-generation networks-on-chip , 2013, IET Comput. Digit. Tech..

[18]  Pat Conway,et al.  The AMD Opteron Northbridge Architecture , 2007, IEEE Micro.

[19]  David Blaauw,et al.  Swizzle-Switch Networks for Many-Core Systems , 2012, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

[20]  Milos Prvulovic,et al.  MiSAR: Minimalistic synchronization accelerator with resource overflow management , 2015, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).

[21]  Shuguang Feng,et al.  Self-calibrating Online Wearout Detection , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).

[22]  L. Kleinrock,et al.  Packet Switching in Radio Channels: Part I - Carrier Sense Multiple-Access Modes and Their Throughput-Delay Characteristics , 1975, IEEE Transactions on Communications.

[23]  Jim D. Garside,et al.  Overview of the SpiNNaker System Architecture , 2013, IEEE Transactions on Computers.

[24]  Tobias Bjerregaard,et al.  A survey of research and practices of Network-on-chip , 2006, CSUR.

[25]  Hyungjun Kim,et al.  Recursive partitioning multicast: A bandwidth-efficient routing for Networks-on-Chip , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.

[26]  Amlan Ganguly,et al.  Reconfigurable Wireless Network-on-Chip with a Dynamic Medium Access Mechanism , 2015, NOCS.

[27]  Anantha Chandrakasan,et al.  Approaching the theoretical limits of a mesh NoC with a 16-node chip prototype in 45nm SOI , 2012, DAC Design Automation Conference 2012.

[28]  Eduard Alarcón,et al.  Characterization and modeling of multicast communication in cache-coherent manycore processors , 2016, Comput. Electr. Eng..

[29]  Anoop Gupta,et al.  The SPLASH-2 programs: characterization and methodological considerations , 1995, ISCA.

[30]  David Matolak,et al.  Wireless networks-on-chips: architecture, wireless channel, and devices , 2012, IEEE Wireless Communications.

[31]  Yi Wang,et al.  SD-MAC: Design and Synthesis of a Hardware-Efficient Collision-Free QoS-Aware MAC Protocol for Wireless Network-on-Chip , 2008, IEEE Transactions on Computers.

[32]  Manuel E. Acacio,et al.  Heterogeneous NoC Design for Efficient Broadcast-based Coherence Protocol Support , 2012, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip.

[33]  Christof Teuscher,et al.  Scalable Hybrid Wireless Network-on-Chip Architectures for Multicore Systems , 2011, IEEE Transactions on Computers.

[34]  Anantha Chandrakasan,et al.  SCORPIO: A 36-core research chip demonstrating snoopy coherence on a scalable mesh NoC with in-network ordering , 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

[35]  Andres Kwasinski,et al.  CDMA Enabled Wireless Network-on-Chip , 2014, JETC.

[36]  C. Dimitrakopoulos,et al.  State-of-the-art graphene high-frequency electronics. , 2012, Nano letters.

[37]  Yiqiang Zhao,et al.  A study of a wire-wireless hybrid NoC architecture with an energy-proportional multicast scheme for energy efficiency , 2015, Comput. Electr. Eng..

[38]  Mark Horowitz,et al.  An evaluation of directory schemes for cache coherence , 1998, ISCA '98.

[39]  Josep Torrellas,et al.  WiSync: An Architecture for Fast Synchronization through On-Chip Wireless Communication , 2016, ASPLOS.

[40]  Bernhard Walke,et al.  IEEE 802.11 Wireless Local Area Networks , 2006 .

[41]  Kai Li,et al.  The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).

[42]  Lawrence G. Roberts,et al.  ALOHA packet system with and without slots and capture , 1975, CCRV.

[43]  Valentin Puente,et al.  MRR: Enabling fully adaptive multicast routing for CMP interconnection networks , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.

[44]  Natalie D. Enright Jerger,et al.  Virtual Circuit Tree Multicasting: A Case for On-Chip Hardware Multicast Support , 2008, 2008 International Symposium on Computer Architecture.

[45]  Jason Cong,et al.  CMP network-on-chip overlaid with multi-band RF-interconnect , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.

[46]  Partha Pratim Pande,et al.  Design of an Energy-Efficient CMOS-Compatible NoC Architecture with Millimeter-Wave Wireless Interconnects , 2013, IEEE Transactions on Computers.

[47]  Vincenzo Catania,et al.  Runtime Tunable Transmitting Power Technique in mm-Wave WiNoC Architectures , 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[48]  William J. Dally,et al.  Flattened Butterfly Topology for On-Chip Networks , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).

[49]  S. Borkar,et al.  An 80-Tile Sub-100-W TeraFLOPS Processor in 65-nm CMOS , 2008, IEEE Journal of Solid-State Circuits.

[50]  Li-Shiuan Peh,et al.  A Statistical Traffic Model for On-Chip Interconnection Networks , 2006, 14th IEEE International Symposium on Modeling, Analysis, and Simulation.

[51]  Hannu Tenhunen,et al.  A generic adaptive path-based routing method for MPSoCs , 2011, J. Syst. Archit..

[52]  Avinash Karanth Kodi,et al.  Extending the Performance and Energy-Efficiency of Shared Memory Multicores with Nanophotonic Technology , 2014, IEEE Transactions on Parallel and Distributed Systems.

[53]  Li-Shiuan Peh,et al.  Towards the ideal on-chip fabric for 1-to-many and many-to-1 communication , 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[54]  Li-Shiuan Peh,et al.  Locality-oblivious cache organization leveraging single-cycle multi-hop NoCs , 2014, ASPLOS.

[55]  Terrence Mak,et al.  A Survey of Emerging Interconnects for On-Chip Efficient Multicast and Broadcast in Many-Cores , 2016, IEEE Circuits and Systems Magazine.

[56]  Davide Bertozzi,et al.  The fast evolving landscape of on-chip communication , 2015, Des. Autom. Embed. Syst..

[57]  Milos Prvulovic,et al.  TLSync: Support for multiple fast barriers using on-chip transmission lines , 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).

[58]  Partha Pratim Pande,et al.  Wireless NoC as Interconnection Backbone for Multicore Chips: Promises and Challenges , 2012, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

[59]  Li-Shiuan Peh,et al.  Single-cycle collective communication over a shared network fabric , 2014, 2014 Eighth IEEE/ACM International Symposium on Networks-on-Chip (NoCS).

[60]  George Michelogiannakis,et al.  An analysis of on-chip interconnection networks for large-scale chip multiprocessors , 2010, TACO.

[61]  Dan Zhao,et al.  Load adaptive multi-channel distribution and arbitration in unequal RF interconnected WiNoC , 2014, 2014 IEEE International Symposium on Circuits and Systems (ISCAS).

[62]  Brad Calder,et al.  Phase tracking and prediction , 2003, ISCA '03.

[63]  Jason Cong,et al.  A scalable micro wireless interconnect structure for CMPs , 2009, MobiCom '09.

[64]  Robert Metcalfe,et al.  Ethernet: distributed packet switching for local computer networks , 1988, CACM.

[65]  Eduard Alarcón,et al.  Graphene-enabled wireless communication for massive multicore architectures , 2013, IEEE Communications Magazine.

[66]  Milos Prvulovic,et al.  Traffic steering between a low-latency unswitched TL ring and a high-throughput switched on-chip interconnect , 2013, Proceedings of the 22nd International Conference on Parallel Architectures and Compilation Techniques.

[67]  Mauricio Hanzich,et al.  Broadcast-Enabled Massive Multicore Architectures: A Wireless RF Approach , 2015, IEEE Micro.

[68]  Josep Torrellas,et al.  Uncorq: Unconstrained Snoop Request Delivery in Embedded-Ring Multiprocessors , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).