Digital Systems Power Management for High Performance Mixed Signal Platforms
暂无分享,去创建一个
Gerard Villar Pique | Yu Pu | Maarten Vertregt | Ajay Kapoor | Vibhu Sharma | Leo Sevat | José Pineda de Gyvez | Hamed Fatemi | Maurice Meijer | Cas Groot | Juan Diego Echeverri
[1] M. Vertregt. The analog challenge of nanometer CMOS , 2006, 2006 International Electron Devices Meeting.
[2] Satoshi Shigematsu,et al. A 1-V high-speed MTCMOS circuit scheme for power-down application circuits , 1997, IEEE J. Solid State Circuits.
[3] M. Vertregt,et al. Assessment of the merits of CMOS technology scaling for analog circuit design , 2004, Proceedings of the 30th European Solid-State Circuits Conference.
[4] Kaushik Roy,et al. A forward body-biased low-leakage SRAM cache: device, circuit and architecture considerations , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[5] D. Schmitt-Landsiedel,et al. Sleep transistor circuits for fine-grained power switch-off with short power-down times , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..
[6] Yu-Ming Yang,et al. INTEGRA: Fast Multibit Flip-Flop Clustering for Clock Power Saving , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[7] David Howard,et al. Challenges in sleep transistor design and implementation in low-power designs , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[8] Suhwan Kim,et al. Understanding and minimizing ground bounce during mode transition of power gating structures , 2003, ISLPED '03.
[9] Dani Genossar. Intel Pentium M Processor Power Estimation, Budgeting, Optimization, and Validation , 2003 .
[10] R.W. Brodersen,et al. Methods for true energy-performance optimization , 2004, IEEE Journal of Solid-State Circuits.
[11] David Blaauw,et al. Near-Threshold Computing: Reclaiming Moore's Law Through Energy Efficient Integrated Circuits , 2010, Proceedings of the IEEE.
[12] José Pineda de Gyvez,et al. Body-Bias-Driven Design Strategy for Area- and Performance-Efficient CMOS Circuits , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[13] H. Veendrick. Digital goes analog , 1998, Proceedings of the 24th European Solid-State Circuits Conference.
[14] Mark C. Johnson,et al. Leakage control with efficient use of transistor stacks in single threshold CMOS , 1999, Proceedings 1999 Design Automation Conference (Cat. No. 99CH36361).
[15] José Pineda de Gyvez,et al. A better-than-worst-case circuit design methodology using timing-error speculation and frequency adaptation , 2012, 2012 IEEE International SOC Conference.
[16] T. Hattori,et al. Hierarchical Power Distribution with 20 Power Domains in 90-nm Low-Power Multi-CPU Processor , 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.
[17] Andrea Neviani,et al. Impact of unrealistic worst case modeling on the performance of VLSI circuits in deep submicron CMOS technologies , 1999 .
[18] R.H. Dennard,et al. Design Of Ion-implanted MOSFET's with Very Small Physical Dimensions , 1974, Proceedings of the IEEE.
[19] Bo Liu,et al. Standard cell sizing for subthreshold operation , 2012, DAC Design Automation Conference 2012.
[20] Uming Ko,et al. 90nm low leakage SoC design techniques for wireless applications , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..
[21] Bo Zhai,et al. A 2.60pJ/Inst Subthreshold Sensor Processor for Optimal Energy Efficiency , 2006, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers..
[22] Mario Konijnenburg,et al. An Ultra Low Energy Biomedical Signal Processing System Operating at Near-Threshold , 2011, IEEE Transactions on Biomedical Circuits and Systems.
[23] John Sartori,et al. Recovery-Driven Design: Exploiting Error Resilience in Design of Energy-Efficient Processors , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[24] Trevor Mudge,et al. Razor: a low-power pipeline based on circuit-level timing speculation , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..
[25] Makoto Takahashi,et al. A 40 nm 222 mW H.264 Full-HD Decoding, 25 Power Domains, 14-Core Application Processor With x512b Stacked DRAM , 2011, IEEE Journal of Solid-State Circuits.
[26] Binoy Ravindran,et al. Stochastic, utility accrual real-time scheduling with task-level and system-level timeliness assurances , 2005, Eighth IEEE International Symposium on Object-Oriented Real-Time Distributed Computing (ISORC'05).
[27] D. Friedman,et al. A low-power CMOS integrated circuit for field-powered radio frequency identification tags , 1997, 1997 IEEE International Solids-State Circuits Conference. Digest of Technical Papers.
[28] Gerard Villar Pique,et al. A 350nA voltage regulator for 90nm CMOS digital circuits with Reverse-Body-Bias , 2011, 2011 Proceedings of the ESSCIRC (ESSCIRC).
[29] Steven Swanson,et al. Efficient complex operators for irregular codes , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.
[30] Gordon Bell. Bell's Law for the Birth and Death of Computer Classes: A theory of the Computer's Evolution , 2008, IEEE Solid-State Circuits Newsletter.
[31] Lingamneni Avinash,et al. Highly energy and performance efficient embedded computing through approximately correct arithmetic: a mathematical foundation and preliminary experimental validation , 2008, CASES '08.
[32] T. Kuroda,et al. A 0.9V 150MHz 10mW 4mm^2 2-D Discrete Cosine Transform Core Processor with Variable Threshold Logic , 1996 .
[33] Kaushik Roy,et al. Ultra-low power DLMS adaptive filter for hearing aid applications , 2001, ISLPED '01.
[34] L.T. Clark,et al. Reverse-body bias and supply collapse for low effective standby power , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[35] Shin'ichiro Mutoh,et al. 1-V power supply high-speed digital circuit technology with multithreshold-voltage CMOS , 1995, IEEE J. Solid State Circuits.
[36] Lara Dolecek,et al. Underdesigned and Opportunistic Computing in Presence of Hardware Variability , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[37] M. Khellah,et al. A 256-Kb Dual-${V}_{\rm CC}$ SRAM Building Block in 65-nm CMOS Process With Actively Clamped Sleep Transistor , 2007, IEEE Journal of Solid-State Circuits.
[38] Stefan Rusu. Trends and challenges in VLSI technology scaling towards 100nm , 2001, Proceedings of the 27th European Solid-State Circuits Conference.
[39] Ajay Kapoor,et al. Ultra-Low-Power Digital Design with Body Biasing for Low Area and Performance-Efficient Operation , 2010, J. Low Power Electron..
[40] Krishna V. Palem,et al. Probabilistic arithmetic and energy efficient embedded signal processing , 2006, CASES '06.
[41] Maarten Vertregt,et al. A forward body bias generator for digital CMOS circuits with supply voltage scaling , 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.
[42] T. Fujita,et al. A 0.9 V 150 MHz 10 mW 4 mm/sup 2/ 2-D discrete cosine transform core processor with variable-threshold-voltage scheme , 1996, 1996 IEEE International Solid-State Circuits Conference. Digest of TEchnical Papers, ISSCC.
[43] Malgorzata Marek-Sadowska,et al. Benefits and costs of power-gating technique , 2005, 2005 International Conference on Computer Design.
[44] Massoud Pedram,et al. A Robust Power Gating Structure and Power Mode Transition Strategy for MTCMOS Design , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[45] Samuel H. Fuller,et al. Computing Performance: Game Over or Next Level? , 2011, Computer.
[46] José Pineda de Gyvez,et al. Technology exploration for adaptive power and frequency scaling in 90nm CMOS , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).
[47] Luca Benini,et al. Design of a Flexible Reactivation Cell for Safe Power-Mode Transition in Power-Gated Circuits , 2009, IEEE Transactions on Circuits and Systems I: Regular Papers.
[48] Kaushik Roy,et al. High-performance low-power CMOS circuits using multiple channel length and multiple oxide thickness , 2000, Proceedings 2000 International Conference on Computer Design.
[49] Francky Catthoor,et al. 8T SRAM with Mimicked Negative Bit-lines and Charge Limited Sequential sense amplifier for wireless sensor nodes , 2011, 2011 Proceedings of the ESSCIRC (ESSCIRC).
[50] David Blaauw,et al. Razor: circuit-level correction of timing errors for low-power operation , 2004, IEEE Micro.
[51] K. Steinhubl. Design of Ion-Implanted MOSFET'S with Very Small Physical Dimensions , 1974 .
[52] SH Hamed Fatemi. Processor architecture design for smart cameras , 2007 .
[53] Nam-Seog Kim,et al. A SRAM Core Architecture with Adaptive Cell Bias Scheme , 2006, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers..