A testable design for electrical interconnect tests of 3D ICs

A testable design method for electrical testing is proposed in this paper to detect open defects occurring at interconnects between dies in a 3D IC and locate the defective interconnects. An IEEE 1149.1 test circuit is utilized to provide a test input vector to a targeted interconnect in the electrical tests. Feasibility of the electrical tests is evaluated by Spice simulation. It is shown by the experiments that a hard open defect and a resistive open defect can be detected at a test speed of 1GHz.

[1]  Masaki Hashizume,et al.  A built-in test circuit for supply current testing of open defects at interconnects in 3D ICs , 2012, 2012 4th Electronic System-Integration Technology Conference.

[2]  Erik Jan Marinissen Challenges in testing TSV-based 3D stacked ICs: Test flows, test contents, and test access , 2010, 2010 IEEE Asia Pacific Conference on Circuits and Systems.

[3]  Shi-Yu Huang,et al.  Performance Characterization of TSV in 3D IC via Sensitivity Analysis , 2010, 2010 19th IEEE Asian Test Symposium.

[4]  Ding-Ming Kwai,et al.  On-Chip TSV Testing for 3D IC before Bonding Using Sense Amplification , 2009, 2009 Asian Test Symposium.

[5]  M. Hashizume,et al.  Electrical Test Method for Interconnect Open Defects in 3D ICs , 2012 .

[6]  Li Hong Yu,et al.  Non-destructive testing of a high dense small dimension through silicon via (TSV) array structures by using 3D X-ray computed tomography method (CT scan) , 2010, 2010 12th Electronics Packaging Technology Conference.

[7]  Ding-Ming Kwai,et al.  A built-in self-test scheme for the post-bond test of TSVs in 3D ICs , 2011, 29th VLSI Test Symposium.

[8]  Erik Jan Marinissen,et al.  Optimization methods for post-bond die-internal/external testing in 3D stacked ICs , 2010, 2010 IEEE International Test Conference.

[9]  Ding-Ming Kwai,et al.  On-chip testing of blind and open-sleeve TSVs for 3D IC before bonding , 2010, 2010 28th VLSI Test Symposium (VTS).

[10]  Masaki Hashizume,et al.  Supply current testing of open defects at interconnects in 3D Ics with IEEE 1149.1 architecture , 2012, 2011 IEEE International 3D Systems Integration Conference (3DIC), 2011 IEEE International.

[11]  Eby G. Friedman,et al.  Three-dimensional Integrated Circuit Design , 2008 .

[12]  Peter van den Eijnden,et al.  Boundary-Scan Test: A Practical Approach , 2011 .

[13]  Ding-Ming Kwai,et al.  A Test Integration Methodology for 3D Integrated Circuits , 2010, 2010 19th IEEE Asian Test Symposium.

[14]  Peter Schneider,et al.  Developing digital test sequences for through-silicon vias within 3D structures , 2010, 2010 IEEE International 3D Systems Integration Conference (3DIC).

[15]  Yervant Zorian,et al.  Testing 3D chips containing through-silicon vias , 2009, 2009 International Test Conference.