A Novel Cache-Utilization-Based Dynamic Voltage-Frequency Scaling Mechanism for Reliability Enhancements
暂无分享,去创建一个
[1] Shunsuke Okumura,et al. A Dependable SRAM with 7T/14T Memory Cells , 2009, IEICE Trans. Electron..
[2] Radu Marculescu,et al. Dynamic power management for multidomain system-on-chip platforms , 2013, ACM Trans. Design Autom. Electr. Syst..
[3] Wei Wu,et al. Energy-efficient cache design using variable-strength error-correcting codes , 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).
[4] Tajana Simunic,et al. Dynamic voltage frequency scaling for multi-tasking systems using online learning , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).
[5] M. Scott,et al. Profile-based dynamic voltage and frequency scaling for a multiple clock domain microprocessor , 2003, 30th Annual International Symposium on Computer Architecture, 2003. Proceedings..
[6] Ming-Hsien Tu,et al. 40 nm Bit-Interleaving 12T Subthreshold SRAM With Data-Aware Write-Assist , 2014, IEEE Transactions on Circuits and Systems I: Regular Papers.
[7] Shunsuke Okumura,et al. 0.5-V operation variation-aware word-enhancing cache architecture using 7T/14T hybrid SRAM , 2010, 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).
[8] Osman S. Unsal,et al. Flexicache: Highly Reliable and Low Power Cache under Supply Voltage Scaling , 2014, CARLA.
[9] Kawaguchi Hiroshi,et al. 7T SRAM Enabling Low-Energy Simultaneous Block Copy , 2010 .
[10] Nikolas Ioannou,et al. Phase-Based Application-Driven Hierarchical Power Management on the Single-chip Cloud Computer , 2011, 2011 International Conference on Parallel Architectures and Compilation Techniques.
[11] Li Shang,et al. Dynamic voltage scaling with links for power optimization of interconnection networks , 2003, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..
[12] Chita R. Das,et al. A case for dynamic frequency tuning in on-chip networks , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[13] Zeshan Chishti,et al. Operating SECDED-based caches at ultra-low voltage with FLAIR , 2013, 2013 43rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN).
[14] Amin Ansari,et al. Archipelago: A polymorphic cache design for enabling robust near-threshold operation , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.
[15] Xi Chen,et al. In-network Monitoring and Control Policy for DVFS of CMP Networks-on-Chip and Last Level Caches , 2012, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip.
[16] Chung-Ho Chen,et al. Fault Containment in Cache Memories for TMR Redundant Processor Systems , 1999, IEEE Trans. Computers.
[17] Kaushik Roy,et al. A 32 kb 10T Sub-Threshold SRAM Array With Bit-Interleaving and Differential Read Scheme in 90 nm CMOS , 2009, IEEE Journal of Solid-State Circuits.
[18] Antonio María González Colás,et al. Low Vccmin fault-tolerant cache with highly predictable performance , 2009, MICRO 2009.
[19] Shunsuke Okumura,et al. Reconfiguring Cache Associativity: Adaptive Cache Design for Wide-Range Reliable Low-Voltage Operation Using 7T/14T SRAM , 2013, IEICE Trans. Electron..
[20] Margaret Martonosi,et al. Live, Runtime Phase Monitoring and Prediction on Real Systems with Application to Dynamic Power Management , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[21] Avesta Sasan,et al. Inquisitive Defect Cache: A Means of Combating Manufacturing Induced Process Variation , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[22] Kaushik Roy,et al. A 32kb 10T Subthreshold SRAM Array with Bit-Interleaving and Differential Read Scheme in 90nm CMOS , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[23] Paul Ampadu,et al. Reliable Ultra-Low-Voltage Cache Design for Many-Core Systems , 2012, IEEE Transactions on Circuits and Systems II: Express Briefs.
[24] Martin Schulz,et al. Bounding energy consumption in large-scale MPI programs , 2007, Proceedings of the 2007 ACM/IEEE Conference on Supercomputing (SC '07).
[25] Naveen Verma,et al. A 65nm 8T Sub-Vt SRAM Employing Sense-Amplifier Redundancy , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[26] John L. Henning. SPEC CPU2006 benchmark descriptions , 2006, CARN.
[27] Margaret Martonosi,et al. Thread criticality predictors for dynamic performance, power, and resource management in chip multiprocessors , 2009, ISCA '09.
[28] Xiaorui Wang,et al. Cache-Aware Utilization Control for Energy Efficiency in Multi-Core Real-Time Systems , 2011, 2011 23rd Euromicro Conference on Real-Time Systems.
[29] David A. Patterson,et al. A hardware evaluation of cache partitioning to improve utilization and energy-efficiency while preserving responsiveness , 2013, ISCA.
[30] Omer Khan,et al. A private level-1 cache architecture to exploit the latency and capacity tradeoffs in multicores operating at near-threshold voltages , 2013, 2013 IEEE 31st International Conference on Computer Design (ICCD).
[31] Chien-Yu Lu,et al. A Single-Ended Disturb-Free 9T Subthreshold SRAM With Cross-Point Data-Aware Write Word-Line Structure, Negative Bit-Line, and Adaptive Read Operation Timing Tracing , 2012, IEEE Journal of Solid-State Circuits.
[32] Christian Poellabauer,et al. Feedback-based dynamic voltage and frequency scaling for memory-bound real-time applications , 2005, 11th IEEE Real Time and Embedded Technology and Applications Symposium.
[33] E. Seevinck,et al. Static-noise margin analysis of MOS SRAM cells , 1987 .
[34] Milo M. K. Martin,et al. Multifacet's general execution-driven multiprocessor simulator (GEMS) toolset , 2005, CARN.
[35] Sparsh Mittal,et al. A survey of techniques for improving energy efficiency in embedded computing systems , 2014, Int. J. Comput. Aided Eng. Technol..
[36] Mor Harchol-Balter,et al. Thread Cluster Memory Scheduling: Exploiting Differences in Memory Access Behavior , 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.
[37] Radu Marculescu,et al. Dynamic power management for multicores: Case study using the intel SCC , 2012, 2012 IEEE/IFIP 20th International Conference on VLSI and System-on-Chip (VLSI-SoC).
[38] Wei Wu,et al. Improving cache lifetime reliability at ultra-low voltages , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[39] Nikil D. Dutt,et al. E < MC2: less energy through multi-copy cache , 2010, CASES '10.
[40] Tayyeb Mahmood,et al. Macho: A failure model-oriented adaptive cache architecture to enable near-threshold voltage scaling , 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).
[41] A.P. Chandrakasan,et al. A 256 kb 65 nm 8T Subthreshold SRAM Employing Sense-Amplifier Redundancy , 2008, IEEE Journal of Solid-State Circuits.
[42] Kishore D. Kulat,et al. Design Overview Of Processor Based Implantable Pacemaker , 2008, J. Comput..
[43] H. Yamauchi,et al. A sub-0.5-V operating embedded SRAM featuring a multi-bit-error-immune hidden-ECC scheme , 2006, IEEE Journal of Solid-State Circuits.
[44] Luca Benini,et al. A virtual platform environment for exploring power, thermal and reliability management control strategies in high-performance multicores , 2010, GLSVLSI '10.