Investigation into voltage and process variation-aware manufacturing test

Traditional test methods that use abstract fault models potentially results in low defect coverage and test escapes for ICs with multiple supply voltage (Vdd) settings for adaptive power management, and in the presence of process variation. In this paper, we address two important defect types, resistive bridge defects and full open defects, and present foundational work on variation-aware test methods. To test ICs with multiple Vdds, Multi-Vdd Test Generation (MVTG) produces Vdd-specific test sets, such that tests are applied using the most effective Vdd. For Process Variation-aware Test Generation (PVTG), we target the most significant test escapes, guided by a novel process variation-aware metric for test quality, called test robustness. We implemented our test methods, and integrated them into a flow of commercial EDA tools. Experimental results on benchmark designs and realistic defects, extracted from layout, show that our test methods achieve high defect coverage while keeping the test sets size low. This serves as proof-of-concept for variation-aware test.

[1]  Jinjun Xiong,et al.  Variation-aware performance verification using at-speed structural test and statistical timing , 2007, ICCAD 2007.

[2]  Bashir M. Al-Hashimi,et al.  Test cost reduction for multiple-voltage designs with bridge defects through Gate-Sizing , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[3]  Shi-Yu Huang Diagnosis of Byzantine open-segment faults [scan testing] , 2002, Proceedings of the 11th Asian Test Symposium, 2002. (ATS '02)..

[4]  Charles F. Hawkins,et al.  THE BEHAVIOR AND TESTING IMPLICATIONS OF CMOS IC LOGIC GATE OPEN CIRCUITS , 1991, 1991, Proceedings. International Test Conference.

[5]  Kwang-Ting Cheng,et al.  Test challenges for deep sub-micron technologies , 2000, Proceedings 37th Design Automation Conference.

[6]  D. M. H. Walker,et al.  PROBE: a PPSFP simulator for resistive bridging faults , 2000, Proceedings 18th IEEE VLSI Test Symposium.

[7]  Edward J. McCluskey,et al.  MINVDD testing for weak CMOS ICs , 2001, Proceedings 19th IEEE VLSI Test Symposium. VTS 2001.

[8]  Bernd Becker,et al.  Automatic Test Pattern Generation for Interconnect Open Defects , 2008, 26th IEEE VLSI Test Symposium (vts 2008).

[9]  Gang Chen,et al.  Defect aware test patterns , 2005, Design, Automation and Test in Europe.

[10]  Klaus D. Müller-Glaser,et al.  An examination of feedback bridging faults in digital CMOS circuits , 1993, 1993 IEEE International Symposium on Circuits and Systems.

[11]  Mehrdad Nourani,et al.  Modeling and Testing Process Variation in Nanometer CMOS , 2006, 2006 IEEE International Test Conference.

[12]  Kaushik Roy,et al.  Process Variations and Process-Tolerant Design , 2007, 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07).

[13]  Peter Dahlgren Switch-level bridging fault simulation in the presence of feedbacks , 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).

[14]  Ivo D Dinov,et al.  Central Limit Theorem: New SOCR Applet and Demonstration Activity , 2008, Journal of statistics education : an international journal on the teaching and learning of statistics.

[15]  R. J. Lipp Limitations of the stuck-at fault model as an accurate measure of CMOS IC quality and a proposed schematic level fault model , 1989, 1989 Proceedings of the IEEE Custom Integrated Circuits Conference.

[16]  Tracy Larrabee,et al.  On evaluating competing bridge fault models for CMOS ICs , 1994, Proceedings of IEEE VLSI Test Symposium.

[17]  Kurt Antreich,et al.  A test design method for floating gate defects (FGD) in analog integrated circuits , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[18]  Ahmad A. Al-Yamani,et al.  ELF-Murphy data on defects and tests sets , 2004, 22nd IEEE VLSI Test Symposium, 2004. Proceedings..

[19]  Wanli Jiang,et al.  Performance Comparison of VLV, ULV, and ECR Tests , 2003, J. Electron. Test..

[20]  Edward J. McCluskey,et al.  Testing for resistive opens and stuck opens , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[21]  Gang Chen,et al.  A unified fault model and test generation procedure for interconnect opens and bridges , 2005, European Test Symposium (ETS'05).

[22]  V. Kamakoti,et al.  PMScan : A power-managed scan for simultaneous reduction of dynamic and leakage power during scan test , 2007, 2007 IEEE International Test Conference.

[23]  K.J. Kuhn,et al.  Reducing Variation in Advanced Logic Technologies: Approaches to Process and Design for Manufacturability of Nanoscale CMOS , 2007, 2007 IEEE International Electron Devices Meeting.

[24]  A. H. Bratt,et al.  Exposing floating gate defects in analogue CMOS circuits by power supply voltage control testing technique , 1995, Proceedings of the 8th International Conference on VLSI Design.

[25]  Bashir M. Al-Hashimi,et al.  Power profile manipulation: a new approach for reducing test application time under power constraints , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[26]  Haluk Konuk Voltage- and current-based fault simulation for interconnect open defects , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[27]  Robert C. Aitken,et al.  Biased voting: A method for simulating CMOS bridging faults in the presence of variable gate logic thresholds , 1993, Proceedings of IEEE International Test Conference - (ITC).

[28]  Rosa Rodríguez-Montañés,et al.  Estimation of the Defective IDDQ Caused by Shorts in Deep-Submicron CMOS ICs , 1998, DATE.

[29]  Michel Renovell,et al.  The concept of resistance interval: a new parametric model for realistic resistive bridging fault , 1995, Proceedings 13th IEEE VLSI Test Symposium.

[30]  Bashir M. Al-Hashimi,et al.  Power-constrained testing of VLSI circuits , 2003 .

[31]  Kaushik Roy,et al.  Leakage and process variation effects in current testing on future CMOS circuits , 2002, IEEE Design & Test of Computers.

[32]  Edward J. McCluskey,et al.  Diagnosis of resistive-open and stuck-open defects in digital CMOS ICs , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[33]  Kaushik Roy,et al.  Novel sizing algorithm for yield improvement under process variation in nanometer technology , 2004, Proceedings. 41st Design Automation Conference, 2004..

[34]  Jürgen Koehl,et al.  DATE 2006 Special Session: DFM/DFY Design for Manufacturability and Yield - influence of process variations in digital, analog and mixed-signal circuit design , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[35]  Intrinsic Parameter Fluctuations in Conventional MOSFETs at the Scaling Limit: A Statistical Study , 2004, 2004 Abstracts 10th International Workshop on Computational Electronics.

[36]  Petru Eles,et al.  Overhead-conscious voltage selection for dynamic and leakage energy reduction of time-constrained systems , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[37]  Marvin H. White,et al.  Modeling of direct tunneling current through interfacial oxide and high-K gate stacks , 2004 .

[38]  J. Figueras,et al.  Test generation with high coverages for quiescent current test of bridging faults in combinational circuits , 1993, Proceedings of IEEE International Test Conference - (ITC).

[39]  Bernd Becker,et al.  On Detection of Resistive Bridging Defects by Low-Temperature and Low-Voltage Testing , 2008, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[40]  A. Asenov Random dopant induced threshold voltage lowering and fluctuations in sub-0.1 /spl mu/m MOSFET's: A 3-D "atomistic" simulation study , 1998 .

[41]  Michel Renovell,et al.  Test strategy sensitivity to defect parameters , 1997, Proceedings International Test Conference 1997.

[42]  Michele Favalli,et al.  High Quality Test Vectors for Bridging Faults in the Presence of IC's Parameters Variations , 2007, 22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007).

[43]  Bashir M. Al-Hashimi,et al.  Diagnosis of Multiple-Voltage Design With Bridge Defect , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[44]  Bernd Becker,et al.  Resistive Bridge fault model evolution from conventional to ultra deep submicron , 2005, 23rd IEEE VLSI Test Symposium (VTS'05).

[45]  P. Rosinger,et al.  Resistive Bridging Faults DFT with Adaptive Power Management Awareness , 2007, 16th Asian Test Symposium (ATS 2007).

[46]  R. Rodriguez-Montanes,et al.  Estimation of the defective I/sub DDQ/ caused by shorts in deep-submicron CMOS ICs , 1998, Proceedings Design, Automation and Test in Europe.

[47]  W. Marsden I and J , 2012 .

[48]  Edward J. McCluskey,et al.  Very-low-voltage testing for weak CMOS logic ICs , 1993, Proceedings of IEEE International Test Conference - (ITC).

[49]  Shalini Ghosh,et al.  Estimating detection probability of interconnect opens using stuck-at tests , 2004, GLSVLSI '04.

[50]  Neil Weste,et al.  Principles of CMOS VLSI Design , 1985 .

[51]  G.E. Moore,et al.  Cramming More Components Onto Integrated Circuits , 1998, Proceedings of the IEEE.

[52]  Tracy Larrabee,et al.  Generating test patterns for bridge faults in CMOS ICs , 1994, Proceedings of European Design and Test Conference EDAC-ETC-EUROASIC.

[53]  P. Rosinger,et al.  Analysing trade-offs in scan power and test data compression for system-on-a-chip , 2002 .

[54]  Krishnendu Chakrabarty,et al.  Thermal-Safe Test Scheduling for Core-Based System-on-Chip Integrated Circuits , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[55]  Krisztián Flautner,et al.  Automatic Performance Setting for Dynamic Voltage Scaling , 2001, MobiCom '01.

[56]  Melvin A. Breuer,et al.  Digital systems testing and testable design , 1990 .

[57]  Bram Kruseman,et al.  On test conditions for the detection of open defects , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[58]  Sani R. Nassif,et al.  High Performance CMOS Variability in the 65nm Regime and Beyond , 2006, 2007 IEEE International Electron Devices Meeting.

[59]  Edward J. McCluskey,et al.  Analysis of Gate Oxide Shorts in CMOS Circuits , 1993, IEEE Trans. Computers.

[60]  Tracy Larrabee,et al.  Bridge Fault Simulation Strategies for CMOS Integrated Circuits , 1993, 30th ACM/IEEE Design Automation Conference.

[61]  Bapiraju Vinnakota,et al.  An analysis of the delay defect detection capability of the ECR test method , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[62]  Camelia Hora,et al.  Diagnosis of Full Open Defects in Interconnecting Lines , 2007, 25th IEEE VLSI Test Symposium (VTS'07).

[63]  Michele Favalli,et al.  Bridging fault modeling and simulation for deep submicron CMOS ICs , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[64]  Trevor Mudge,et al.  Razor: a low-power pipeline based on circuit-level timing speculation , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..

[65]  George Theocharous,et al.  Machine Learning for Adaptive Power Management , 2006 .

[66]  Qadeer Ahmad Khan,et al.  A single supply level shifter for multi-voltage systems , 2006, 19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design (VLSID'06).

[67]  Bernd Becker,et al.  SUPERB: Simulator Utilizing Parallel Evaluation of Resistive Bridges , 2007 .

[68]  Edward J. McCluskey,et al.  SHOrt voltage elevation (SHOVE) test for weak CMOS ICs , 1997, Proceedings. 15th IEEE VLSI Test Symposium (Cat. No.97TB100125).

[69]  Haihua Yan,et al.  A delay test to differentiate resistive interconnect faults from weak transistor defects , 2005, 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design.

[70]  Michael H. Schulz,et al.  Robust and Nonrobust Path Delay Fault Simulation by Parallel Processing of Patterns , 1992, IEEE Trans. Computers.

[71]  T. Chen,et al.  Comparison of adaptive body bias (ABB) and adaptive supply voltage (ASV) for improving delay and leakage under the presence of process variation , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[72]  V. Kamakoti,et al.  Variation-Tolerant, Power-Safe Pattern Generation , 2007, IEEE Design & Test of Computers.

[73]  Rosa Rodríguez-Montañés,et al.  Resistance characterization for weak open defects , 2002, IEEE Design & Test of Computers.

[74]  I. Pomeranz,et al.  On testing of interconnect open defects in combinational logic circuits with stems of large fanout , 2002, Proceedings. International Test Conference.

[75]  YUKIYA MIURA,et al.  Behavior Analysis of Internal Feedback Bridging Faults in CMOS Circuits , 2002, J. Electron. Test..

[76]  Daniel Arumí,et al.  Experimental Characterization of CMOS Interconnect Open Defects , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[77]  Sandip Kundu,et al.  Forbidden pitches in sub-wavelength lithography and their implications on design , 2007 .

[78]  Bram Kruseman,et al.  Comparison of I/sub DDQ/ testing and very-low voltage testing , 2002, Proceedings. International Test Conference.

[79]  Yuichi Sato,et al.  Failure analysis of open faults by using detecting/un-detecting information on tests , 2004, 13th Asian Test Symposium.

[80]  Michel Renovell,et al.  Test escapes: analysis of short defect , 1999, Proceedings. XII Symposium on Integrated Circuits and Systems Design (Cat. No.PR00387).

[81]  Patrick Girard Survey of low-power testing of VLSI circuits , 2002, IEEE Design & Test of Computers.

[82]  Wojciech Maly,et al.  Testing oriented analysis of CMOS ICs with opens , 1988, [1988] IEEE International Conference on Computer-Aided Design (ICCAD-89) Digest of Technical Papers.

[83]  M. Ieong,et al.  Modeling line edge roughness effects in sub 100 nanometer gate length devices , 2000, 2000 International Conference on Simulation Semiconductor Processes and Devices (Cat. No.00TH8502).

[84]  Víctor H. Champac,et al.  Testability of floating gate defects in sequential circuits , 1995, Proceedings 13th IEEE VLSI Test Symposium.

[85]  Kozo Kinoshita,et al.  Simulation of resistive bridging fault to minimize the presence of intermediate voltage and oscillation in CMOS circuits , 2000, Proceedings of the Ninth Asian Test Symposium.

[86]  Sandip Kundu,et al.  On Modeling and Testing of Lithography Related Open Faults in Nano-CMOS Circuits , 2008, 2008 Design, Automation and Test in Europe.

[87]  Mark Zwolinski,et al.  Dynamic Voltage Scaling Aware Delay Fault Testing , 2006, Eleventh IEEE European Test Symposium (ETS'06).

[88]  Srinivas Patil,et al.  On broad-side delay test , 1994, IEEE Trans. Very Large Scale Integr. Syst..

[89]  Joan Figueras,et al.  Effectiveness of very low voltage testing of bridging defects , 2006 .

[90]  Weiping Shi,et al.  Longest-path selection for delay test under process variation , 2005, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[91]  Rosa Rodríguez-Montañés,et al.  Bridging defects resistance measurements in a CMOS process , 1992, Proceedings International Test Conference 1992.

[92]  Edward J. McCluskey,et al.  "RESISTIVE SHORTS" WITHIN CMOS GATES , 1991, 1991, Proceedings. International Test Conference.

[93]  Weiping Shi,et al.  A circuit level fault model for resistive opens and bridges , 2003, Proceedings. 21st VLSI Test Symposium, 2003..

[94]  Daniel Arumí,et al.  Defective behaviours of resistive opens in interconnect lines , 2005, European Test Symposium (ETS'05).

[95]  Bernd Becker,et al.  Simulating Resistive-Bridging and Stuck-At Faults , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[96]  H. J. M. Veendrick Deep-Submicron CMOS ICs: From Basics to ASICs , 2012 .

[97]  Y. Taur,et al.  Quantum-mechanical modeling of electron tunneling current from the inversion layer of ultra-thin-oxide nMOSFET's , 1997, IEEE Electron Device Letters.

[98]  D. M. H. Walker,et al.  Test generation for global delay faults , 1996, Proceedings International Test Conference 1996. Test and Design Validity.

[99]  Weiping Shi,et al.  PARADE: PARAmetric Delay Evaluation under Process Variation , 2004 .

[100]  D. M. H. Walker,et al.  Resistive bridge fault modeling, simulation and test generation , 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).

[101]  Will R. Moore,et al.  Implications of voltage and dimension scaling on CMOS testing: the multidimensional testing paradigm , 1998, Proceedings. 16th IEEE VLSI Test Symposium (Cat. No.98TB100231).

[102]  Wayne M. Needham,et al.  High volume microprocessor test escapes, an analysis of defects our tests are missing , 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).

[103]  M. Ercken,et al.  Line edge roughness: experimental results related to a two-parameter model , 2004 .

[104]  Kozo Kinoshita,et al.  Precise test generation for resistive bridging faults of CMOS combinational circuits , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[105]  S. Kundu,et al.  Test challenges in nanometer technologies , 2000, Proceedings IEEE European Test Workshop.

[106]  Robert C. Aitken,et al.  Low Power Methodology Manual - for System-on-Chip Design , 2007 .

[107]  M. Comte,et al.  A Specific ATPG technique for Resistive Open with Sequence Recursive Dependency , 2006, 2006 15th Asian Test Symposium.

[108]  Srikanth Venkataraman,et al.  Evaluation of the quality of N-detect scan ATPG patterns on a processor , 2004 .

[109]  Venkatram Krishnaswamy,et al.  A study of bridging defect probabilities on a Pentium (TM) 4 CPU , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[110]  S.M. Reddy,et al.  Interconnect Open Defect Diagnosis with Physical Information , 2006, 2006 15th Asian Test Symposium.

[111]  Dhruva Acharyya,et al.  Hardware results demonstrating defect detection using power supply signal measurements , 2005, 23rd IEEE VLSI Test Symposium (VTS'05).

[112]  Enrico Macii,et al.  Ultra Low-Power Electronics and Design , 2010 .

[113]  Alejandro Girón,et al.  Test of interconnection opens considering coupling signals , 2005, 20th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT'05).

[114]  Bernd Becker,et al.  Automatic test pattern generation for resistive bridging faults , 2004, Proceedings. 2004 IEEE International Workshop on Current and Defect Based Testing (IEEE Cat. No.04EX1004).

[115]  Will R. Moore,et al.  Delay-fault testing and defects in deep sub-micron ICs-does critical resistance really mean anything? , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[116]  A. Raghunathan,et al.  Battery-driven system design: a new frontier in low power design , 2002, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.

[117]  Florence Azaïs,et al.  Detection of Defects Using Fault Model Oriented Test Sequences , 1999, J. Electron. Test..

[118]  Mani Soma,et al.  Analytical fault modeling and static test generation for analog ICs , 1994, ICCAD.

[119]  Bernd Becker,et al.  Modeling feedback bridging faults with non-zero resistance , 2003, The Eighth IEEE European Test Workshop, 2003. Proceedings..

[120]  Masaki Hashizume,et al.  High speed IDDQ test and its testability for process variation , 2000, Proceedings of the Ninth Asian Test Symposium.

[121]  Sani R. Nassif,et al.  Modeling and analysis of manufacturing variations , 2001, Proceedings of the IEEE 2001 Custom Integrated Circuits Conference (Cat. No.01CH37169).

[122]  Camelia Hora,et al.  Full Open Defects in Nanometric CMOS , 2008, 26th IEEE VLSI Test Symposium (vts 2008).

[123]  F. Joel Ferguson,et al.  Oscillation and sequential behavior caused by interconnect opens in digital CMOS circuits , 1997, Proceedings International Test Conference 1997.

[124]  Bashir M. Al-Hashimi,et al.  Bridge Defect Diagnosis for Multiple-Voltage Design , 2008, 2008 13th European Test Symposium.

[125]  Irith Pomeranz,et al.  Battery-aware dynamic voltage scaling in multiprocessor embedded system , 2005, 2005 IEEE International Symposium on Circuits and Systems.

[126]  J. C. Henderson,et al.  The use of Marginal Voltage Measurements to Detect and Locate Defects in Digital Microcircuits , 1981, 19th International Reliability Physics Symposium.

[127]  Bashir M. Al-Hashimi,et al.  Bridging Fault Test Method With Adaptive Power Management Awareness , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[128]  Amit Patra,et al.  On-Line Testing of Digital Circuits for n-Detect and Bridging Fault Models , 2005, 14th Asian Test Symposium (ATS'05).

[129]  Janak H. Patel,et al.  Stuck-at fault: a fault model for the next millennium , 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).

[130]  Bashir M. Al-Hashimi,et al.  Variation Aware Analysis of Bridging Fault Testing , 2008, 2008 17th Asian Test Symposium.

[131]  S. Jayaraman,et al.  Experimental evaluation of bridge patterns for a high performance microprocessor , 2005, 23rd IEEE VLSI Test Symposium (VTS'05).

[132]  Asen Asenov,et al.  Intrinsic parameter fluctuations in conventional MOSFETs until the end of the ITRS: A statistical simulation study , 2006 .

[133]  Mark Zwolinski,et al.  Testing of Level Shifters in Multiple Voltage Designs , 2007, 2007 14th IEEE International Conference on Electronics, Circuits and Systems.

[134]  Keith Baker,et al.  Defect-based delay testing of resistive vias-contacts a critical evaluation , 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).

[135]  Jeong Beom Kim,et al.  A current sensing circuit for IDDQ testing , 2005, 2005 6th International Conference on ASIC.

[136]  Manoj Sachdev Deep sub-micron I/sub DDQ/ testing: issues and solutions , 1997, Proceedings European Design and Test Conference. ED & TC 97.

[137]  Edward J. McCluskey,et al.  Detecting delay flaws by very-low-voltage testing , 1996, Proceedings International Test Conference 1996. Test and Design Validity.

[138]  Bernd Becker,et al.  On Detection of Resistive Bridging Defects by Low-Temperature and Low-Voltage Testing , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[139]  Bashir M. Al-Hashimi,et al.  Process Variation-Aware Test for Resistive Bridges , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[140]  Arabi Keshk,et al.  I/sub DDQ/ current dependency on test vectors and bridging resistance , 1999, Proceedings Eighth Asian Test Symposium (ATS'99).

[141]  Phil Nigh,et al.  Test method evaluation experiments and data , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[142]  Yuyun Liao,et al.  Fault coverage analysis for physically-based CMOS bridging faults at different power supply voltages , 1996, Proceedings International Test Conference 1996. Test and Design Validity.

[143]  Jinjun Xiong,et al.  Variation-aware performance verification using at-speed structural test and statistical timing , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

[144]  Farid N. Najm,et al.  Design techniques for gate-leakage reduction in CMOS circuits , 2003, Fourth International Symposium on Quality Electronic Design, 2003. Proceedings..

[145]  Miquel Roca,et al.  Current testability analysis of feedback bridging faults in CMOS circuits , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[146]  David Turner,et al.  Screening minVDD outliers using feed-forward voltage testing , 2002, Proceedings. International Test Conference.

[147]  Michele Favalli,et al.  Fault simulation of parametric bridging faults in CMOS IC's , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[148]  Y. Sato,et al.  Defect Diagnosis - Reasoning Methodology , 2006, 2006 15th Asian Test Symposium.

[149]  Edward J. McCluskey,et al.  Testing for tunneling opens , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[150]  Michel Renovell,et al.  Testing for floating gates defects in CMOS circuits , 1998 .

[151]  Víctor H. Champac,et al.  Detectability conditions for interconnection open defects , 2000, Proceedings 18th IEEE VLSI Test Symposium.

[152]  Trevor Mudge,et al.  Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads , 2002, ICCAD 2002.

[153]  Michel Renovell,et al.  Bridging fault coverage improvement by power supply control , 1996, Proceedings of 14th VLSI Test Symposium.

[154]  Y. Miura Detection of Interconnect Open Faults with Unknown Values by Ramp Voltage Application , 2006, 2006 15th Asian Test Symposium.

[155]  Jacob Savir,et al.  Skewed-Load Transition Test: Part I, Calculus , 1992, Proceedings International Test Conference 1992.

[156]  Terumine Hayashi,et al.  Faulty resistance sectioning technique for resistive bridging fault ATPG systems , 2001, Proceedings 10th Asian Test Symposium.

[157]  C. Hora,et al.  Impact of gate tunnelling leakage on CMOS circuits with full open defects , 2007 .

[158]  Bernd Becker,et al.  The pros and cons of very-low-voltage testing: an analysis based on resistive bridging faults , 2004, 22nd IEEE VLSI Test Symposium, 2004. Proceedings..