Numerical study of the etch anisotropy in low-pressure, high-density plasma etching

Evolution of etched profiles has been numerically studied during low-pressure, high-density (LPHD) plasma etching of Si in Cl2. The surface etch rates were calculated using a reaction model of synergism between incoming ions and neutral reactants, including the spread of ion angular distributions due to their thermal motions and the transport of neutrals arising from the reemission on surfaces in a microstructure. Etched profiles were then simulated using a so-called two-dimensional string algorithm to examine the effects of ion temperature kTi and energy (or sheath voltage) eVs on the etch anisotropy for different neutral-to-ion flux ratios Γn/Γi toward the substrate. Numerical results indicated that in typical Cl2 LPHD plasma etching environments, where the neutral-to-ion flux ratio is Γn/Γi∼1 and the ratio of sheath voltage to ion temperature is eVs/kTi∼100, the chlorinated surface coverage is microscopically nonuniform in etched features: The coverage is very low at the bottom (α∼0.1), whereas the sid...

[1]  D. C. Gray,et al.  Influence of reactant transport on fluorine reactive ion etching of deep trenches in silicon , 1993 .

[2]  J. Forster,et al.  Novel radio‐frequency induction plasma processing techniques , 1993 .

[3]  M. Balooch,et al.  Low‐energy Ar ion‐induced and chlorine ion etching of silicon , 1996 .

[4]  R. A. Barker,et al.  Simulation of plasma‐assisted etching processes by ion‐beam techniques , 1982 .

[5]  J. Arnold,et al.  Simulation of surface topography evolution during plasma etching by the method of characteristics , 1994 .

[6]  R. Boswell,et al.  Fast anisotropic etching of silicon in an inductively coupled plasma reactor , 1989 .

[7]  Richard A. Gottscho,et al.  Ion and neutral temperatures in electron cyclotron resonance plasma reactors , 1991 .

[8]  A. E. Vries,et al.  Ion‐assisted etching of silicon by molecular chlorine , 1984 .

[9]  N. Fujiwara,et al.  Cold and Low-Energy Ion Etching (COLLIE) , 1989 .

[10]  R. J. Gnaedinger Some Calculations of the Thickness Distribution of Films Deposited from Large Area Sputtering Sources , 1969 .

[11]  K. Nishikawa,et al.  Effects of etch products and surface oxidation on profile evolution during electron cyclotron resonance plasma etching of poly-Si , 1996 .

[12]  R. A. Barker,et al.  Investigation of plasma etching mechanisms using beams of reactive gas ions , 1981 .

[13]  E. A. Hartog,et al.  Laser‐induced fluorescence measurements of transverse ion temperature in an electron cyclotron resonance plasma , 1990 .

[14]  Kouichi Ono,et al.  Chemical Kinetics of Chlorine in Electron Cyclotron Resonance Plasma Etching of Si , 1994 .

[15]  Emad Zawaideh,et al.  A plasma etching model based on a generalized transport approach , 1987 .

[16]  N. A. Krall,et al.  Principles of Plasma Physics , 1973 .

[17]  S. Samukawa,et al.  Extremely high selective, highly anisotropic, and high rate electron cyclotron resonance plasma etching for n+ poly‐Si at the electron cyclotron resonance position , 1990 .

[18]  D. Vender,et al.  Sidewall passivation during the etching of poly‐Si in an electron cyclotron resonance plasma of HBr , 1994 .

[19]  Keizo Suzuki,et al.  Microwave Plasma Etching , 1977 .

[20]  C. Guarnieri,et al.  Electromagnetic fields in a radio‐frequency induction plasma , 1993 .

[21]  V. M. Donnelly,et al.  Laser diagnostics of plasma etching: Measurement of Cl+2 in a chlorine discharge , 1982 .

[22]  R. A. Barker,et al.  Surface studies of and a mass balance model for Ar+ ion‐assisted Cl2 etching of Si , 1983 .

[23]  J. Gregus,et al.  Scaling of Si and GaAs trench etch rates with aspect ratio, feature width, and substrate temperature , 1995 .

[24]  C. W. Jurgensen,et al.  Microscopic uniformity in plasma etching , 1992 .

[25]  K. Nishikawa,et al.  In situ Monitoring of Product Species in Plasma Etching by Fourier Transform Infrared Absorption Spectroscopy. , 1995 .

[26]  Tokuo Kure,et al.  Low‐temperature dry etching , 1991 .

[27]  Vivek K. Singh,et al.  Simulation of profile evolution in silicon reactive ion etching with re‐emission and surface diffusion , 1992 .

[28]  Andrew R. Neureuther,et al.  Line‐Profile resist development simulation techniques , 1977 .

[29]  Richard A. Gottscho,et al.  Ion transport in an electron cyclotron resonance plasma , 1991 .

[30]  V. M. Donnelly,et al.  In situ pulsed laser‐induced thermal desorption studies of the silicon chloride surface layer during silicon etching in high density plasmas of Cl2 and Cl2/O2 mixtures , 1994 .

[31]  S. Hamaguchi,et al.  Microprofile simulations for plasma etching with surface passivation , 1994 .

[32]  V. M. Donnelly,et al.  Chemical topography of anisotropic etching of polycrystalline Si masked with photoresist , 1994 .