Emerging Yield and Reliability Challenges in Nanometer CMOS Technologies

With further scaling of nanometer CMOS technologies, yield and reliability become an increasing challenge. This paper reviews the most important phenomena affecting yield and reliability. For each effect, the basic physical mechanisms causing the effect and its impact on transistor parameters are described. Possible solutions to cope/handle with these effects on the design level are discussed as well.

[1]  J. Black,et al.  Electromigration—A brief survey and some recent results , 1969 .

[2]  I. Blech Electromigration in thin aluminum films on titanium nitride , 1976 .

[3]  R. E. Richardson Quiescent operating point shift in bipolar transistors with AC excitation , 1979 .

[4]  Chenming Hu,et al.  Hot-electron-induced photon and photocarrier generation in Silicon MOSFET's , 1984, IEEE Transactions on Electron Devices.

[5]  Chenming Hu,et al.  Lucky-electron model of channel hot-electron injection in MOSFET'S , 1984, IEEE Transactions on Electron Devices.

[6]  Chenming Hu,et al.  Hot-Electron-Induced MOSFET Degradation - Model, Monitor, and Improvement , 1985, IEEE Journal of Solid-State Circuits.

[7]  Chenming Hu,et al.  Hot-electron-induced MOSFET degradation—Model, monitor, and improvement , 1985, IEEE Transactions on Electron Devices.

[8]  K. R. Lakshmikumar,et al.  Characterisation and modeling of mismatch in MOS transistors for precision analog design , 1986 .

[9]  M.J.M. Pelgrom,et al.  Matching properties of MOS transistors , 1989 .

[10]  Sung-Mo Kang,et al.  Modeling and simulation of hot-carrier-induced device degradation in MOS circuits , 1993 .

[11]  Michel Steyaert,et al.  Threshold voltage mismatch in short-channel MOS transistors , 1994 .

[12]  A. S. Poulton Effect of conducted EMI on the DC performance of operational amplifiers , 1994 .

[13]  I. Kurachi,et al.  Physical model of drain conductance, g/sub d/, degradation of NMOSFET's due to interface state generation by hot carrier injection , 1994 .

[14]  W. Sansen,et al.  Mismatch characterization of small size MOS transistors , 1995, Proceedings International Conference on Microelectronic Test Structures.

[15]  P. Fang,et al.  Dependence of HCI mechanism on temperature for 0.18 /spl mu/m technology and beyond , 1999, 1999 IEEE International Integrated Reliability Workshop Final Report (Cat. No. 99TH8460).

[16]  J. Stathis Physical and predictive models of ultra thin oxide reliability in CMOS devices and circuits , 2001, 2001 IEEE International Reliability Physics Symposium Proceedings. 39th Annual (Cat. No.00CH37167).

[17]  J. Stathis Physical and predictive models of ultrathin oxide reliability in CMOS devices and circuits , 2001 .

[18]  H. Tuinhout Impact of Parametric Fluctuations on Performance and Yield of Deep-Submicron Technologies , 2002, 32nd European Solid-State Device Research Conference.

[19]  A. J. Mouthaan,et al.  Simulation and experimental characterization of reservoir and via layout effects on electromigration lifetime , 2002, Microelectron. Reliab..

[20]  W. Sansen,et al.  Line edge roughness: characterization, modeling and impact on device behavior , 2002, Digest. International Electron Devices Meeting,.

[21]  Guido Groeseneken,et al.  Analysis and modeling of a digital CMOS circuit operation and reliability after gate oxide breakdown: a case study , 2002, Microelectron. Reliab..

[22]  D. Kwong,et al.  Dynamic NBTI of PMOS transistors and its impact on device lifetime , 2003, 2003 IEEE International Reliability Physics Symposium Proceedings, 2003. 41st Annual..

[23]  N. Mielke,et al.  Universal recovery behavior of negative bias temperature instability [PMOSFETs] , 2003, IEEE International Electron Devices Meeting 2003.

[24]  D. Schroder,et al.  Negative bias temperature instability: Road to cross in deep submicron silicon semiconductor manufacturing , 2003 .

[25]  M.A. Alam,et al.  A critical examination of the mechanics of dynamic NBTI for PMOSFETs , 2003, IEEE International Electron Devices Meeting 2003.

[26]  A. Paccagnella,et al.  Collapse of MOSFET drain current after soft breakdown , 2003, IEEE Transactions on Device and Materials Reliability.

[27]  A. De Keersgieter,et al.  Impact of gate-oxide breakdown of varying hardness on narrow and wide nFET's , 2004, 2004 IEEE International Reliability Physics Symposium. Proceedings.

[28]  S. Parihar,et al.  Impact of pocket implant on MOSFET mismatch for advanced CMOS technology , 2004, Proceedings of the 2004 International Conference on Microelectronic Test Structures (IEEE Cat. No.04CH37516).

[29]  Michel Steyaert,et al.  An Improved Current Mirror Structure Insensitive to Conducted EMI , 2005 .

[30]  Jean-Michel Redoute,et al.  Current mirror structure insensitive to conducted EMI , 2005 .

[31]  Hua Wang,et al.  Reliability issues in deep deep sub-micron technologies: time-dependent variability and its impact on embedded system design , 2006, 2006 IFIP International Conference on Very Large Scale Integration.

[32]  G. Gielen,et al.  Efficient identification of major contributions to EMI-induced rectification effects in analog automotive circuits , 2006, 2006 17th International Zurich Symposium on Electromagnetic Compatibility.

[33]  Hua Wang,et al.  Reliability Issues in Deep Deep Submicron Technologies: Time-Dependent Variability and its Impact on Embedded System Design , 2006, VLSI-SoC.

[34]  M. Denais,et al.  NBTI degradation: From physical mechanisms to modelling , 2006, Microelectron. Reliab..

[35]  H. Reisinger,et al.  Analysis of NBTI Degradation- and Recovery-Behavior Based on Ultra Fast VT-Measurements , 2006, 2006 IEEE International Reliability Physics Symposium Proceedings.

[36]  Jens Lienig,et al.  introduction to electromigration-aware physical design , 2006, ISPD '06.

[37]  James H. Stathis,et al.  The negative bias temperature instability in MOS devices: A review , 2006, Microelectron. Reliab..

[38]  Jean-Baptiste Kammerer,et al.  Analytical Modeling of Hot-Carrier Induced Degradation of MOS Transistor for Analog Design for Reliability , 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

[39]  T. Grasser,et al.  Simultaneous Extraction of Recoverable and Permanent Components Contributing to Bias-Temperature Instability , 2007, 2007 IEEE International Electron Devices Meeting.

[40]  Yu Cao,et al.  An Integrated Modeling Paradigm of Circuit Reliability for 65nm CMOS Technology , 2007, 2007 IEEE Custom Integrated Circuits Conference.

[41]  Yu Cao,et al.  Compact Modeling and Simulation of Circuit Reliability for 65-nm CMOS Technology , 2007, IEEE Transactions on Device and Materials Reliability.

[42]  M. Nafría,et al.  MOSFET output characteristics after oxide breakdown , 2007 .

[43]  G. Gielen,et al.  A 14-bit 200-MHz Current-Steering DAC With Switching-Sequence Post-Adjustment Calibration , 2007, IEEE Journal of Solid-State Circuits.

[44]  Montserrat Nafría,et al.  Lifetime estimation of analog circuits from the electrical characteristics of stressed MOSFETs , 2007, Microelectron. Reliab..

[45]  Montserrat Nafría,et al.  Worn-out oxide MOSFET characteristics: Role of gate current and device parameters on a current mirror , 2007, Microelectron. Reliab..

[46]  T. Grasser,et al.  A rigorous study of measurement techniques for negative bias temperature instability , 2007, 2007 IEEE International Integrated Reliability Workshop Final Report.

[47]  E. Sicard,et al.  Towards an EMC roadmap for Integrated Circuits , 2008, 2008 Asia-Pacific Symposium on Electromagnetic Compatibility and 19th International Zurich Symposium on Electromagnetic Compatibility.