A co-synthesis methodology for power delivery and data interconnection networks in 3D ICs

A stable voltage supply is critical for multiprocessor system-on-chips (MPSoCs) to operate at near-optimal performance levels. The problem of IR drops in a Power Delivery Network (PDN) is very severe in 3D MPSoCs with network-on-chip (NoC) fabrics where the current in the PDN increases proportionally with the number of device layers. At the same time, with the increasing core counts in today's power-hungry MPSoCs, the already hard problem of voltage island-aware Network-on-Chip (NoC) design has become even more challenging. Even though the PDN and NoC design goals are non-overlapping, both the optimizations are interdependent. Unfortunately, designers today seldom consider design of the PDN while synthesizing NoCs. In this work, for the first time, we propose a novel system-level co-synthesis methodology that minimizes 3D NoC energy while meeting performance goals; and simultaneously optimizes the 3D PDN design while satisfying IR-drop constraints. Our experimental results show that the proposed co-synthesis methodology meets IR-drop constraints while minimizing energy consumption for several real-world applications, improving upon results from traditional system-level methodologies that perform PDN design and NoC synthesis separately.

[1]  Radu Marculescu,et al.  Energy- and performance-aware mapping for regular NoC architectures , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[2]  Krishnan Srinivasan,et al.  Linear programming based techniques for synthesis of network-on-chip architectures , 2006, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..

[3]  Steven M. Nowick,et al.  A low-latency FIFO for mixed-clock systems , 2000, Proceedings IEEE Computer Society Workshop on VLSI 2000. System Design for a System-on-Chip Era.

[4]  M. Gail Jones,et al.  It's a Small World After All. , 2005 .

[5]  Luca Benini,et al.  SunFloor 3D: A tool for Networks On Chip topology synthesis for 3D systems on chips , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[6]  Radu Marculescu,et al.  Communication and task scheduling of application-specific networks-on-chip , 2005 .

[7]  Mark G. Karpovsky,et al.  Application of network calculus to general topologies using turn-prohibition , 2003, TNET.

[8]  Sudeep Pasricha,et al.  A Framework for TSV Serialization-aware Synthesis of Application Specific 3D Networks-on-Chip , 2012, 2012 25th International Conference on VLSI Design.

[9]  Luca Benini,et al.  Comparative Analysis of NoCs for Two-Dimensional Versus Three-Dimensional SoCs Supporting Multiple Voltage and Frequency Islands , 2010, IEEE Transactions on Circuits and Systems II: Express Briefs.

[10]  Andrew B. Kahng,et al.  ORION 2.0: A fast and accurate NoC power and area model for early-stage design space exploration , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[11]  Soha Hassoun,et al.  System-level comparison of power delivery design for 2D and 3D ICs , 2009, 2009 IEEE International Conference on 3D System Integration.

[12]  Pingqiang Zhou,et al.  Application-specific 3D Network-on-Chip design using simulated allocation , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

[13]  Luca Benini,et al.  NoC topology synthesis for supporting shutdown of voltage islands in SoCs , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[14]  Soha Hassoun,et al.  Power Delivery Design for 3-D ICs Using Different Through-Silicon Via (TSV) Technologies , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[15]  Arunabha Sen,et al.  Efficient mapping and voltage islanding technique for energy minimization in NoC under design constraints , 2010, SAC '10.

[16]  Mark G. Karpovsky,et al.  Application of network calculus to general topologies using turn-prohibition , 2002, Proceedings.Twenty-First Annual Joint Conference of the IEEE Computer and Communications Societies.

[17]  Bill Lin,et al.  Design of application-specific 3D Networks-on-Chip architectures , 2008, 2008 IEEE International Conference on Computer Design.

[18]  Radu Marculescu,et al.  Energy- and Performance-Aware Incremental Mapping for Networks on Chip With Multiple Voltage Levels , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[19]  David Z. Pan,et al.  A voltage-frequency island aware energy optimization framework for networks-on-chip , 2008, ICCAD 2008.

[20]  Radu Marculescu,et al.  Voltage-Frequency Island Partitioning for GALS-based Networks-on-Chip , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[21]  Mohammad Arjomand,et al.  Voltage-Frequency Planning for Thermal-Aware, Low-Power Design of Regular 3-D NoCs , 2010, 2010 23rd International Conference on VLSI Design.

[22]  Yici Cai,et al.  Floorplanning Considering IR Drop in Multiple Supply Voltages Island Designs , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[23]  Massoud Pedram,et al.  Optimal Design of the Power-Delivery Network for Multiple Voltage-Island System-on-Chips , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[24]  Eby G. Friedman,et al.  Fast algorithms for power grid analysis based on effective resistance , 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.

[25]  John Keane,et al.  A multi-story power delivery technique for 3D integrated circuits , 2008, Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).

[26]  Krishnan Srinivasan,et al.  A Low Complexity Heuristic for Design of Custom Network-on-Chip Architectures , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[27]  Iraklis Anagnostopoulos,et al.  A High-Level Mapping Algorithm Targeting 3D NoC Architectures with Multiple Vdd , 2010, 2010 IEEE Computer Society Annual Symposium on VLSI.

[28]  TingTing Hwang,et al.  A new architecture for power network in 3D IC , 2011, 2011 Design, Automation & Test in Europe.

[29]  Sudeep Pasricha,et al.  VISION: a framework for voltage island aware synthesis of interconnection networks-on-chip , 2011, GLSVLSI '11.

[30]  Yu Wang,et al.  Three-dimensional integrated circuits (3D IC) Floorplan and Power/Ground Network Co-synthesis , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

[31]  Chi-Ying Tsui,et al.  Energy-Aware Synthesis of Networks-on-Chip Implemented with Voltage Islands , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[32]  Radu Marculescu,et al.  "It's a small world after all": NoC performance optimization via long-range link insertion , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[33]  Yao-Wen Chang,et al.  Post-floorplanning power/ground ring synthesis for multiple-supply-voltage designs , 2009, ISPD '09.

[34]  L. Benini,et al.  Designing Application-Specific Networks on Chips with Floorplan Information , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.