Fabrication and Characterization of Electrical Interconnects and Microfluidic Cooling for 3D ICS With Silicon Interposer

Heat generation in high-performance three-dimensional integrated circuits (3D ICs) is a significant challenge due to limited heat removal paths and high power density. To address this challenge, this paper presents an embedded microfluidic heat sink (MFHS) for such high-performance 3D ICs. In the proposed 3D IC system, each tier contains an embedded MFHS, along with high-aspect-ratio (23:1) through-silicon vias (TSVs) routed through the MFHS. In each tier, solder-based electrical and fluidic inputs/outputs are co-fabricated with wafer-level batch fabrication. Moreover, microfluidic cooling experiments of staggered micropin-fins with embedded TSVs are presented for the first time.

[1]  Y. Peles,et al.  Multi-objective thermal design optimization and comparative analysis of electronics cooling technologies , 2009 .

[2]  A. Koşar,et al.  Laminar Flow Across a Bank of Low Aspect Ratio Micro Pin Fins , 2005 .

[3]  H. Rothuizen,et al.  Interlayer cooling potential in vertically integrated packages , 2008 .

[4]  Muhannad S. Bakir,et al.  Silicon Micropin-Fin Heat Sink With Integrated TSVs for 3-D ICs: Tradeoff Analysis and Experimental Testing , 2013, IEEE Transactions on Components, Packaging and Manufacturing Technology.

[5]  R. Pease,et al.  High-performance heat sinking for VLSI , 1981, IEEE Electron Device Letters.

[6]  Li Zheng,et al.  3-D Stacked Tier-Specific Microfluidic Cooling for Heterogeneous 3-D ICs , 2013, IEEE Transactions on Components, Packaging and Manufacturing Technology.

[7]  Yusuf Leblebici,et al.  Integration of intra chip stack fluidic cooling using thin-layer solder bonding , 2013, 2013 IEEE International 3D Systems Integration Conference (3DIC).

[8]  Kaustav Banerjee,et al.  Multiple Si layer ICs: motivation, performance analysis, and design implications , 2000, Proceedings 37th Design Automation Conference.

[9]  Muhannad S. Bakir,et al.  3D heterogeneous integrated systems: Liquid cooling, power delivery, and implementation , 2008, 2008 IEEE Custom Integrated Circuits Conference.

[10]  Dong Liu,et al.  Experimental study on liquid flow and heat transfer in micro square pin fin heat sink , 2011 .

[11]  A. Koşar,et al.  Forced convective heat transfer across a pin fin micro heat sink , 2005 .

[12]  A. Zukauskas Heat Transfer from Tubes in Crossflow , 1972 .

[13]  Kaustav Banerjee,et al.  3-D ICs: a novel chip design for improving deep-submicrometer interconnect performance and systems-on-chip integration , 2001, Proc. IEEE.

[14]  Yildiz Bayazitoglu,et al.  Optimization of short micro pin fins in minichannels , 2012 .

[15]  R. Prasher,et al.  Nusselt Number and Friction Factor of Staggered Arrays of Low Aspect Ratio Micropin-Fins Under Cross Flow for Water as Fluid , 2007 .

[16]  Yogendra Joshi,et al.  Enhancement in CMOS chip performance through microfluidic cooling , 2014, 20th International Workshop on Thermal Investigations of ICs and Systems.

[17]  S. Kandlikar,et al.  SINGLE-PHASE LIQUID HEAT TRANSFER IN PLAIN AND ENHANCED MICROCHANNELS , 2006 .