Experimental Insights Into Thermal Dissipation in TSV-Based 3-D Integrated Circuits

This article describes heat dissipation challenges in 3-D ICs; using two case studies, it also presents insights and design guidelines for 3-D thermal management.

[1]  C. Jacoboni,et al.  A review of some charge transport properties of silicon , 1977 .

[2]  Y. Akasaka Three-dimensional IC trends , 1986, Proceedings of the IEEE.

[3]  Jason Cong,et al.  Thermal via planning for 3-D ICs , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[4]  Sachin S. Sapatnekar,et al.  Placement of thermal vias in 3-D ICs using various thermal objectives , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[5]  J. Lau,et al.  Thermal management of 3D IC integration with TSV (through silicon via) , 2009, 2009 59th Electronic Components and Technology Conference.

[6]  A. Jain,et al.  Analytical and Numerical Modeling of the Thermal Performance of Three-Dimensional Integrated Circuits , 2010, IEEE Transactions on Components and Packaging Technologies.

[7]  Yuan Xie,et al.  Analysis and mitigation of lateral thermal blockage effect of through-silicon-via in 3D IC designs , 2011, IEEE/ACM International Symposium on Low Power Electronics and Design.

[8]  Francois de Crecy A simple and approximate analytical model for the estimation of the thermal resistances in 3D stacks of integrated circuits , 2012 .

[9]  T. Magis,et al.  Towards efficient and reliable 300mm 3D technology for wide I/O interconnects , 2012, 2012 IEEE 14th Electronics Packaging Technology Conference (EPTC).

[10]  P. M. Souare,et al.  Thermal behavior of stack-based 3D ICs , 2012, 2012 4th Electronic System-Integration Technology Conference.

[11]  J. Jeddeloh,et al.  Hybrid memory cube new DRAM architecture increases density and performance , 2012, 2012 Symposium on VLSI Technology (VLSIT).

[12]  H. Wolf,et al.  Formulation of percolating thermal underfills using hierarchical self-assembly of micro- and nanoparticles by centrifugal forces and capillary bridging , 2012 .

[13]  H. Wolf,et al.  Formulation of percolating thermal underfills using hierarchical self-assembly of micro-and nanoparticles by centrifugal forces and capillary bridging , 2012 .

[14]  E. Beyne,et al.  Numerical and experimental characterization of the thermal behavior of a packaged DRAM-on-logic stack , 2012, 2012 IEEE 62nd Electronic Components and Technology Conference.

[15]  Hiroshi Takahashi,et al.  A 1/4-inch 8Mpixel back-illuminated stacked CMOS image sensor , 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.

[16]  G. Masini,et al.  A multi-wavelength 3D-compatible silicon photonics platform on 300mm SOI wafers for 25Gb/s applications , 2013, 2013 IEEE International Electron Devices Meeting.

[17]  Yoshiaki Takemoto,et al.  A rolling-shutter distortion-free 3D stacked image sensor with −160dB parasitic light sensitivity in-pixel storage node , 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.

[18]  Christian Bernard,et al.  A 0.9 pJ/bit, 12.8 GByte/s WideIO memory interface in a 3D-IC NoC-based MPSoC , 2013, 2013 Symposium on VLSI Technology.

[19]  P. M. Souare,et al.  Thermal Effects of Silicon Thickness in 3-D ICs: Measurements and Simulations , 2014, IEEE Transactions on Components, Packaging and Manufacturing Technology.

[20]  Y. Avenas,et al.  Assessment of a heat spreading solution for hot spots cooling in compact packages , 2014, 20th International Workshop on Thermal Investigations of ICs and Systems.

[21]  Ricardo Reis,et al.  Using TSVs for thermal mitigation in 3D circuits: Wish and truth , 2014, 2014 International 3D Systems Integration Conference (3DIC).

[22]  Nicolas Peltier,et al.  Thermal modeling methodology for efficient system-level thermal analysis , 2014, Proceedings of the IEEE 2014 Custom Integrated Circuits Conference.

[23]  H. Y. Chen,et al.  A high-performance low-cost chip-on-Wafer package with sub-μm pitch Cu RDL , 2014, 2014 Symposium on VLSI Technology (VLSI-Technology): Digest of Technical Papers.

[24]  B. Giraud,et al.  A comprehensive platform for thermal studies in TSV-based 3D integrated circuits , 2014, 2014 IEEE International Electron Devices Meeting.

[25]  Anthony Collins,et al.  A Heterogeneous 3D-IC Consisting of Two 28 nm FPGA Die and 32 Reconfigurable High-Performance Data Converters , 2014, IEEE Journal of Solid-State Circuits.

[26]  Jaejin Lee,et al.  A 1.2 V 8 Gb 8-Channel 128 GB/s High-Bandwidth Memory (HBM) Stacked DRAM With Effective I/O Test Circuits , 2015, IEEE Journal of Solid-State Circuits.

[27]  Eric Beyne,et al.  Reliability Challenges Related to TSV Integration and 3-D Stacking , 2016, IEEE Design & Test.

[28]  Matthias Petzold,et al.  Innovative Failure Analysis Techniques for 3-D Packaging Developments , 2016, IEEE Design & Test.

[29]  Eric Beyne,et al.  The 3-D Interconnect Technology Landscape , 2016, IEEE Design & Test.