Analysis and mitigation of lateral thermal blockage effect of through-silicon-via in 3D IC designs
暂无分享,去创建一个
Yuan Xie | Yibo Chen | Charles Johnson | Eren Kursun | Dave Motschman | Yuan Xie | Yibo Chen | E. Kursun | D. Motschman | C. Johnson
[1] Sung Kyu Lim,et al. Multiobjective Microarchitectural Floorplanning for 2-D and 3-D ICs , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[2] Sung Kyu Lim,et al. Bus-aware microarchitectural floorplanning , 2008, 2008 Asia and South Pacific Design Automation Conference.
[3] Hsien-Hsin S. Lee,et al. Design and analysis of 3D-MAPS: A many-core 3D processor with stacked memory , 2010, IEEE Custom Integrated Circuits Conference 2010.
[4] Hsien-Hsin S. Lee,et al. Thermal optimization in multi-granularity multi-core floorplanning , 2009, 2009 Asia and South Pacific Design Automation Conference.
[5] Sachin S. Sapatnekar,et al. Thermal via placement in 3D ICs , 2005, ISPD '05.
[6] Sachin S. Sapatnekar,et al. Temperature-aware routing in 3D ICs , 2006, Asia and South Pacific Conference on Design Automation, 2006..
[7] Luca Benini,et al. Design Issues and Considerations for Low-Cost 3-D TSV IC Technology , 2010, IEEE Journal of Solid-State Circuits.
[8] Jason Cong,et al. A thermal-driven floorplanning algorithm for 3D ICs , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..
[9] Gabriel H. Loh,et al. 3D-Stacked Memory Architectures for Multi-core Processors , 2008, 2008 International Symposium on Computer Architecture.
[10] Narayanan Vijaykrishnan,et al. Interconnect and thermal-aware floorplanning for 3D microprocessors , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).
[11] N. Kernevez,et al. Challenges for 3D IC integration: bonding quality and thermal management , 2007, 2007 IEEE International Interconnect Technology Conferencee.
[12] Sung Kyu Lim,et al. Multi-Objective Microarchitectural Floorplanning For 2 D And 3 D ICs , 2006 .
[13] Jason Cong,et al. Three-Dimensional Integrated Circuit Design: EDA, Design and Microarchitectures , 2009 .
[14] E. Beyne. 3D interconnection and packaging: impending reality or still a dream? , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).
[15] Saeed Moaveni,et al. Finite Element Analysis Theory and Application with ANSYS , 2007 .
[16] A. Jourdain,et al. 3D stacked IC demonstration using a through Silicon Via First approach , 2008, 2008 IEEE International Electron Devices Meeting.
[17] Paresh Limaye,et al. Design issues and considerations for low-cost 3D TSV IC technology , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).
[18] Ravi Prasher,et al. Thermal Interface Materials: Historical Perspective, Status, and Future Directions , 2006, Proceedings of the IEEE.
[19] Kevin Skadron,et al. HotSpot: a compact thermal modeling methodology for early-stage VLSI design , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[20] Tao Zhang,et al. A customized design of DRAM controller for on-chip 3D DRAM stacking , 2010, IEEE Custom Integrated Circuits Conference 2010.