Coping with Latency in SOC Design

Latency-insensitive design is the foundation of a correct-by-construction methodology for SOC design. This approach can handle latency's increasing impact on deep-submicron technologies and facilitate the reuse of intellectual-property cores for building complex systems on chips, reducing the number of costly iterations in the design process.

[1]  Kurt Keutzer,et al.  Rethinking Deep-Submicron Circuit Design , 1999, Computer.

[2]  Noah Treuhaft,et al.  Scalable Processors in the Billion-Transistor Era: IRAM , 1997, Computer.

[3]  Doug Matzke,et al.  Will Physical Scalability Sabotage Performance Gains? , 1997, Computer.

[4]  William J. Dally,et al.  Smart Memories: a modular reconfigurable architecture , 2000, ISCA '00.

[5]  Steven M. Nowick,et al.  Robust interfaces for mixed-timing systems with application to latency-insensitive protocols , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[6]  Ken Mai,et al.  The future of wires , 2001, Proc. IEEE.

[7]  Ivan E. Sutherland,et al.  Micropipelines , 1989, Commun. ACM.

[8]  M. Bohr Interconnect scaling-the real limiter to high performance ULSI , 1995, Proceedings of International Electron Devices Meeting.

[9]  Alberto L. Sangiovanni-Vincentelli,et al.  A methodology for correct-by-construction latency insensitive design , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[10]  Vivek Sarkar,et al.  Baring It All to Software: Raw Machines , 1997, Computer.

[11]  P. Glaskowski Pentium 4 (partially) previewed , 2000 .

[12]  Alberto L. Sangiovanni-Vincentelli,et al.  Theory of latency-insensitive design , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[13]  Vikas Agarwal,et al.  Clock rate versus IPC: the end of the road for conventional microarchitectures , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).

[14]  M.J. Flynn,et al.  Deep submicron microprocessor design issues , 1999, IEEE Micro.

[15]  Alberto L. Sangiovanni-Vincentelli,et al.  Performance analysis and optimization of latency insensitive systems , 2000, Proceedings 37th Design Automation Conference.

[16]  Yervant Zorian,et al.  2001 Technology Roadmap for Semiconductors , 2002, Computer.

[17]  R. Nagarajan,et al.  A design space evaluation of grid processor architectures , 2001, Proceedings. 34th ACM/IEEE International Symposium on Microarchitecture. MICRO-34.

[18]  William J. Dally,et al.  VLSI architecture: past, present, and future , 1999, Proceedings 20th Anniversary Conference on Advanced Research in VLSI.

[19]  K. Keutzer,et al.  System-level design: orthogonalization of concerns andplatform-based design , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[20]  Kaustav Banerjee,et al.  Interconnect limits on gigascale integration (GSI) in the 21st century , 2001, Proc. IEEE.