System-level power-performance trade-offs in bus matrix communication architecture synthesis
暂无分享,去创建一个
[1] J. Cong,et al. Interconnect design for deep submicron ICs , 1997, ICCAD 1997.
[2] Alberto L. Sangiovanni-Vincentelli,et al. Efficient synthesis of networks on chip , 2003, Proceedings 21st International Conference on Computer Design.
[3] Miodrag Potkonjak,et al. Latency-guided on-chip bus network design , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).
[4] Nikil D. Dutt,et al. Fast exploration of bus-based on-chip communication architectures , 2004, International Conference on Hardware/Software Codesign and System Synthesis, 2004. CODES + ISSS 2004..
[5] A. Raghunathan,et al. Battery-driven system design: a new frontier in low power design , 2002, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.
[6] Paolo Crippa,et al. System-Level Power Analysis Methodology Applied to the AMBA AHB Bus , 2003, DATE.
[7] Christian Steger,et al. Energy estimation based on hierarchical bus models for power-aware smart cards , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[8] Narayanan Vijaykrishnan,et al. A power estimation methodology for systemC transaction level models , 2005, 2005 Third IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'05).
[9] Igor L. Markov,et al. Fixed-outline floorplanning: enabling hierarchical design , 2003, IEEE Trans. Very Large Scale Integr. Syst..
[10] Jason Cong,et al. Interconnect performance estimation models for design planning , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[11] Paul Marchal,et al. Energy/Area/Delay Tradeoffs in the Physical Design of On-Chip Segmented Bus Architecture , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[12] S. Pasricha,et al. CAPPS : A Framework for Power-Performance Trade-Offs in On-Chip Communication Architecture Synthesis ∗ , 2006 .
[13] Luca Benini,et al. Contrasting a NoC and a Traditional Interconnect Fabric with Layout Awareness , 2006, Proceedings of the Design Automation & Test in Europe Conference.
[14] Li Shang,et al. Thermal Modeling, Characterization and Management of On-Chip Networks , 2004, 37th International Symposium on Microarchitecture (MICRO-37'04).
[15] Sri Parameswaran,et al. NoCEE: energy macro-model extraction methodology for network on chip routers , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
[16] Luca Benini,et al. Networks on Chips : A New SoC Paradigm , 2022 .
[17] Ken Mai,et al. The future of wires , 2001, Proc. IEEE.
[18] Srinivasan Murali,et al. An Application-Specific Design Methodology for STbus Crossbar Generation , 2005, Design, Automation and Test in Europe.
[19] Sharad Malik,et al. Orion: a power-performance simulator for interconnection networks , 2002, MICRO.
[20] Nikil D. Dutt,et al. Floorplan-aware automated synthesis of bus-based communication architectures , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[21] Vittorio Zaccaria,et al. System level power modeling and simulation of high-end industrial network-on-chip , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[22] Prithviraj Banerjee,et al. Power aware interface synthesis for bus-based SoC designs , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[23] Andrew B. Kahng,et al. On wirelength estimations for row-based placement , 1998, ISPD '98.
[24] Jason Cong,et al. Interconnect delay estimation models for synthesis and design planning , 1999, Proceedings of the ASP-DAC '99 Asia and South Pacific Design Automation Conference 1999 (Cat. No.99EX198).
[25] Sujit Dey,et al. High-Level Power Analysis and Optimization , 1997 .
[26] Luca Benini,et al. Analysis of power consumption on switch fabrics in network routers , 2002, DAC '02.
[27] Nikil D. Dutt,et al. Constraint-driven bus matrix synthesis for MPSoC , 2006, Asia and South Pacific Conference on Design Automation, 2006..
[28] Radu Marculescu,et al. Energy- and performance-driven NoC communication architecture synthesis using a decomposition approach , 2005, Design, Automation and Test in Europe.
[29] André K. Nieuwland,et al. Why transition coding for power minimization of on-chip buses does not work , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[30] Anand Raghunathan,et al. Power analysis of system-level on-chip communication architectures , 2004, International Conference on Hardware/Software Codesign and System Synthesis, 2004. CODES + ISSS 2004..
[31] Manfred Glesner,et al. Bus-Based Communication Synthesis on System-Level , 1996, TODE.
[32] Nikil Dutt,et al. FABSYN: floorplan-aware bus architecture synthesis , 2006 .