Delay and Power Management of Voltage-Scaled Repeater Driven Long Interconnects

Abstract This paper addresses various factors that determine delay and power dissipation of voltage-scaled optimal repeater-chain driven long interconnects. Using SPICE simulation extracted results, an analysis is presented. The analysis shows that by considerable voltage scaling, the number of repeater stages required for delay minimization can be reduced. This optimum number of repeaters depends on RLC load presented by the interconnect and repeater size. Lower RLC load has more influence on the optimum number of repeaters. In general, interconnect inductance reduces both delay and power dissipation. However, at extremely scaled voltages, inductive effect may increase power dissipation. Good delay and power management for voltage-scaled repeater loaded interconnect can be achieved through proper repeater sizing, voltage scaling, interconnect width optimization and interconnect material selection. Simulations show that the above results hold good irrespective of technology node.

[1]  Eby G. Friedman,et al.  Lumped versus distributed RC and RLC interconnect impedances , 2000, Proceedings of the 43rd IEEE Midwest Symposium on Circuits and Systems (Cat.No.CH37144).

[2]  Eby G. Friedman,et al.  Power characteristics of inductive interconnect , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[3]  Yvon Savaria,et al.  A variable-size parallel regenerator for long integrated interconnections , 1994, Proceedings of 1994 37th Midwest Symposium on Circuits and Systems.

[5]  Anantha P. Chandrakasan,et al.  Low Power Digital CMOS Design , 1995 .

[6]  K. Banerjee,et al.  Accurate analysis of on-chip inductance effects and implications for optimal repeater insertion and technology scaling , 2001, 2001 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.01CH37185).

[7]  Robert W. Dutton,et al.  High-frequency characterization of on-chip digital interconnects , 2002 .

[8]  Bart Nauwelaers,et al.  On the frequency‐dependent line capacitance and conductance of on‐chip interconnects on lossy silicon substrate , 2002 .

[9]  Kwyro Lee,et al.  A unified RLC model for high-speed on-chip interconnects , 2003 .

[10]  Yehea I. Ismail,et al.  Exploiting the on-chip inductance in high-speed clock distribution networks , 2001, IEEE Trans. Very Large Scale Integr. Syst..

[11]  Mark A. Franklin,et al.  Optimum buffer circuits for driving long uniform lines , 1991 .

[12]  Yu Cao,et al.  New paradigm of predictive MOSFET and interconnect modeling for early circuit simulation , 2000, Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044).

[13]  Kang Sung-Mo CMOS digital integrated circuits: analysis and design / Sung-Mo (Steve) Kang, Yusuf Leblebici , 2003 .

[14]  Eby G. Friedman,et al.  Repeater design to reduce delay and power in resistive interconnect , 1997, Proceedings of 1997 IEEE International Symposium on Circuits and Systems. Circuits and Systems in the Information Age ISCAS '97.

[15]  H. B. Bakoglu,et al.  Circuits, interconnections, and packaging for VLSI , 1990 .

[16]  G. G. Stokes "J." , 1890, The New Yale Book of Quotations.

[17]  J.D. Meindl,et al.  Optimal interconnection circuits for VLSI , 1985, IEEE Transactions on Electron Devices.

[18]  Dhanistha Panyasak,et al.  Circuits , 1995, Annals of the New York Academy of Sciences.

[19]  Eby G. Friedman,et al.  Repeater design to reduce delay and power in resistive interconnect , 1998 .

[20]  Kaustav Banerjee,et al.  A power-optimal repeater insertion methodology for global interconnects in nanometer designs , 2002 .

[21]  S. Zaage,et al.  Characterization of the broadband transmission behavior of interconnections on silicon substrates , 1993, 1993 Proceedings Fifth Annual IEEE International Conference on Wafer Scale Integration.

[22]  P ? ? ? ? ? ? ? % ? ? ? ? , 1991 .

[23]  M.-C. Shiau,et al.  Delay models and speed improvement techniques for RC tree interconnections among small-geometry CMOS inverters , 1990 .

[24]  Yehea I. Ismail,et al.  Effects of inductance on the propagation delay and repeater insertion in VLSI circuits , 2000, IEEE Trans. Very Large Scale Integr. Syst..

[25]  Jeffrey A. Davis,et al.  Optimization of throughput performance for low-power VLSI interconnects , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[26]  Rajeevan Chandel,et al.  Repeater insertion in global interconnects in VLSI circuits , 2005 .

[27]  V.K. Tripathi,et al.  CAD-oriented equivalent circuit modeling of on-chip interconnects for RF integrated circuits in CMOS technology , 1999, 1999 IEEE MTT-S International Microwave Symposium Digest (Cat. No.99CH36282).

[28]  Kaustav Banerjee,et al.  3-D ICs: a novel chip design for improving deep-submicrometer interconnect performance and systems-on-chip integration , 2001, Proc. IEEE.

[29]  Marc Belleville,et al.  Inductance and capacitance analytic formulas for VLSI interconnects , 1996 .