NOCBENCH: NOC synthesis benchmarks
暂无分享,去创建一个
[1] Krishnan Srinivasan,et al. A methodology for layout aware design and optimization of custom network-on-chip architectures , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).
[2] Omar Hammami,et al. Design of 3D-IC for butterfly NOC based 64 PE-multicore: Analysis and design space exploration , 2012, 2011 IEEE International 3D Systems Integration Conference (3DIC), 2011 IEEE International.
[3] Xinyu Li,et al. NOCEVE: Network on chip emulation and verification environment , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[4] Yeong-Dae Kim,et al. A linear programming-based algorithm for floorplanning in VLSI design , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[5] Krishnan Srinivasan,et al. Automated Techniques for Synthesis of Application-Specific Network-on-Chip Architectures , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[6] Norbert Wehn,et al. Designing efficient irregular networks for heterogeneous systems-on-chip , 2008, J. Syst. Archit..
[7] Natalie D. Enright Jerger,et al. Outstanding Research Problems in NoC Design: System, Microarchitecture, and Circuit Perspectives , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[8] Karam S. Chatha,et al. System level methodology for programming CMP based multi-threaded network processor architectures , 2005, IEEE Computer Society Annual Symposium on VLSI: New Frontiers in VLSI Design (ISVLSI'05).
[9] Krishnan Srinivasan,et al. Linear programming based techniques for synthesis of network-on-chip architectures , 2006, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..
[10] Luca Benini,et al. NoC synthesis flow for customized domain specific multiprocessor systems-on-chip , 2005, IEEE Transactions on Parallel and Distributed Systems.
[11] Glenn Leary,et al. Design of Network-on-Chip Architectures With a Genetic Algorithm-Based Technique , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[12] Gul N. Khan,et al. Throughput-Oriented NoC Topology Generation and Analysis for High Performance SoCs , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[13] Radu Marculescu,et al. Energy-aware mapping for tile-based NoC architectures under performance constraints , 2003, ASP-DAC '03.
[14] Sudeep Pasricha,et al. A Framework for TSV Serialization-aware Synthesis of Application Specific 3D Networks-on-Chip , 2012, 2012 25th International Conference on VLSI Design.
[15] Sungjoo Yoo,et al. Topology Synthesis of Cascaded Crossbar Switches , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[16] Nikil D. Dutt,et al. A Framework for Cosynthesis of Memory and Communication Architectures for MPSoC , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[17] L. Benini,et al. /spl times/pipesCompiler: a tool for instantiating application specific networks on chip , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[18] Luca Benini,et al. ×pipesCompiler: A Tool for Instantiating Application Specific Networks on Chip , 2004, DATE.
[19] Kees G. W. Goossens,et al. A unified approach to constrained mapping and routing on network-on-chip architectures , 2005, 2005 Third IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'05).
[20] D. Houzet,et al. 3D IC implementation for MPSOC architectures: Mesh and butterfly based NoC , 2012, 2012 4th Asia Symposium on Quality Electronic Design (ASQED).
[21] Krishnan Srinivasan,et al. ISIS: a genetic algorithm based technique for custom on-chip interconnection network synthesis , 2005, 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design.
[22] Krishnan Srinivasan,et al. Application Specific Network-on-Chip Design with Guaranteed Quality Approximation Algorithms , 2007, 2007 Asia and South Pacific Design Automation Conference.