Floorplan Optimization of Fat-Tree-Based Networks-on-Chip for Chip Multiprocessors

Chip multiprocessor (CMP) is becoming increasingly popular in the processor industry. Efficient network-on-chip (NoC) that has similar performance to the processor cores is important in CMP design. Fat-tree-based on-chip network has many advantages over traditional mesh or torus-based networks in terms of throughput, power efficiency, and latency. It has a bright future in the development of CMP. However, the floorplan design of the fat-tree-based NoC is very challenging because of the complexity of topology. There are a large number of crossings and long interconnects, which cause severe performance degradation in the network. In electronic NoCs, the parasitic capacitance and inductance will be significant. In optical ones, large crosstalk noise and power loss will be introduced. The novel contribution of this paper is to propose a method to optimize the fat-tree floorplan, which can effectively reduce the number of crossings and minimize the interconnect length. Two types of floorplans are proposed, which could be applied to fat-tree-based networks of arbitrary size. Compared with the traditional one, our floorplans could reduce more than 87% of the crossings. Since the traversal distance for signals is related to the aspect ratio of the processor cores, we also present a method to calculate the optimum aspect ratio of the processor cores to minimize the traversal distance.

[1]  Charles E. Leiserson,et al.  Fat-trees: Universal networks for hardware-efficient supercomputing , 1985, IEEE Transactions on Computers.

[2]  W. Daniel Hillis,et al.  The network architecture of the Connection Machine CM-5 (extended abstract) , 1992, SPAA '92.

[3]  Mohan Kumar,et al.  On generalized fat trees , 1995, Proceedings of 9th International Parallel Processing Symposium.

[4]  W. Daniel Hillis,et al.  The Network Architecture of the Connection Machine CM-5 , 1996, J. Parallel Distributed Comput..

[5]  Fabrizio Petrini,et al.  k-ary n-trees: high performance networks for massively parallel architectures , 1997, Proceedings 11th International Parallel Processing Symposium.

[6]  Avi Mendelson,et al.  Coming challenges in microarchitecture and architecture , 2001, Proc. IEEE.

[7]  Giovanni De Micheli,et al.  Physical planning for on-chip multiprocessor networks and switch fabrics , 2003, Proceedings IEEE International Conference on Application-Specific Systems, Architectures, and Processors. ASAP 2003.

[8]  P. Kapur,et al.  Optical interconnects for future high performance integrated circuits , 2003 .

[9]  Alain Greiner,et al.  Micro-network for SoC: implementation of a 32-port SPIN network , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

[10]  Partha Pratim Pande,et al.  Design of a switch for network on chip applications , 2003, Proceedings of the 2003 International Symposium on Circuits and Systems, 2003. ISCAS '03..

[11]  Jörg Henkel,et al.  On-chip networks: a scalable, communication-centric embedded system design paradigm , 2004, 17th International Conference on VLSI Design. Proceedings..

[12]  Partha Pratim Pande,et al.  A scalable communication-centric SoC interconnect architecture , 2004, International Symposium on Signals, Circuits and Systems. Proceedings, SCS 2003. (Cat. No.03EX720).

[13]  V. Viswanath Multi-log processor - towards scalable event-driven multiprocessors , 2004 .

[14]  M. Islam,et al.  Extended-butterfly fat tree interconnection (EFTI) architecture for network on chip , 2005, PACRIM. 2005 IEEE Pacific Rim Conference on Communications, Computers and signal Processing, 2005..

[15]  Luigi Carro,et al.  Energy and latency evaluation of NoC topologies , 2005, 2005 IEEE International Symposium on Circuits and Systems.

[16]  Tobias Bjerregaard,et al.  A survey of research and practices of Network-on-chip , 2006, CSUR.

[17]  Pawel Gepner,et al.  Multi-Core Processors: New Way to Achieve High System Performance , 2006, PARELEC.

[18]  William J. Dally,et al.  Design tradeoffs for tiled CMP on-chip networks , 2006, ICS '06.

[19]  S. Xiao,et al.  Multiple-channel silicon micro-resonator based filters for WDM applications. , 2007, Optics express.

[20]  William J. Dally,et al.  Flattened Butterfly Topology for On-Chip Networks , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).

[21]  F. Xia,et al.  Ultracompact optical buffers on a silicon chip , 2007 .

[22]  Fang Xu,et al.  Cascaded active silicon microresonator array cross-connect circuits for WDM networks-on-chip , 2008, SPIE OPTO.

[23]  Radu Marculescu,et al.  On-chip communication architecture exploration: A quantitative evaluation of point-to-point, bus, and network-on-chip approaches , 2008, TODE.

[24]  J. Duato,et al.  Butterfly vs . Unidirectional Fat-Trees for Networks-on-Chip : not a Mere Permutation of Outputs ∗ , 2008 .

[25]  Raymond G. Beausoleil,et al.  Nanoelectronic and Nanophotonic Interconnect , 2008, Proceedings of the IEEE.

[26]  Pedro López,et al.  Assessing fat-tree topologies for regular network-on-chip design under nanoscale technology constraints , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[27]  Natalie D. Enright Jerger,et al.  Outstanding Research Problems in NoC Design: System, Microarchitecture, and Circuit Perspectives , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[28]  Mohamed A. Abd El-Ghany,et al.  High throughput architecture for high performance NoC , 2009, 2009 IEEE International Symposium on Circuits and Systems.

[29]  L. Schares,et al.  160 Gb/s Bidirectional Polymer-Waveguide Board-Level Optical Interconnects Using CMOS-Based Transceivers , 2009, IEEE Transactions on Advanced Packaging.

[30]  Hideharu Amano,et al.  Fat H-Tree: A Cost-Efficient Tree-Based On-Chip Network , 2009, IEEE Trans. Parallel Distributed Syst..

[31]  Radu Marculescu,et al.  The Chip Is the Network: Toward a Science of Network-on-Chip Design , 2009, Found. Trends Electron. Des. Autom..

[32]  Wei Zhang,et al.  UNION: A unified inter/intra-chip optical network for chip multiprocessors , 2010, 2010 IEEE/ACM International Symposium on Nanoscale Architectures.

[33]  Wei Zhang,et al.  Crosstalk noise and bit error rate analysis for optical network-on-chip , 2010, Design Automation Conference.

[34]  Ming Yang,et al.  Design of High-Radix Clos Network-on-Chip , 2010, 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip.

[35]  Radu Marculescu,et al.  An Analytical Approach for Network-on-Chip Performance Analysis , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[36]  Xiang Zhang,et al.  A multilayer nanophotonic interconnection network for on-chip many-core communications , 2010, Design Automation Conference.

[37]  Sachhidh Kannan,et al.  A hierarchical 3-D floorplanning algorithm for many-core CMP networks , 2011, 2011 IEEE International Symposium of Circuits and Systems (ISCAS).

[38]  Christopher Batten,et al.  Designing Chip-Level Nanophotonic Interconnection Networks , 2012, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.