Computer Science (Computer Engineering)
暂无分享,去创建一个
Dean M. Tullsen | Michael Taylor | Jack Sampson | Steven Swanson | D. Tullsen | S. Swanson | J. Sampson | Michael B. Taylor
[1] K. Fraser,et al. Language support for lightweight transactions , 2003, SIGP.
[2] Jack Sampson,et al. TimeCube: A manycore embedded processor with interference-agnostic progress tracking , 2013, 2013 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS).
[3] Mircea R. Stan,et al. Modeling Power Consumption of NAND Flash Memories Using FlashPower , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[4] Steven Swanson,et al. Underpowering NAND flash: Profits and perils , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
[5] Hsie-Chia Chang,et al. A 45nm 6b/cell charge-trapping flash memory using LDPC-based ECC and drift-immune soft-sensing engine , 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.
[6] Massimo Rossini,et al. A 128Gb 3b/cell NAND flash design using 20nm planar-cell technology , 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.
[7] Yi-Hsuan Hsiao,et al. Radically extending the cycling endurance of Flash memory (to > 100M Cycles) by using built-in thermal annealing to self-heal the stress-induced damage , 2012, 2012 International Electron Devices Meeting.
[8] Yun Tian,et al. Improving write performance by enhancing internal parallelism of Solid State Drives , 2012, 2012 IEEE 31st International Performance Computing and Communications Conference (IPCCC).
[9] Tipp Moseley,et al. Measuring interference between live datacenter applications , 2012, 2012 International Conference for High Performance Computing, Networking, Storage and Analysis.
[10] Amin Vahdat,et al. Themis: an I/O-efficient MapReduce , 2012, SoCC '12.
[11] Lei Liu,et al. A software memory partition approach for eliminating bank-level interference in multicore systems , 2012, 2012 21st International Conference on Parallel Architectures and Compilation Techniques (PACT).
[12] Shi Bai,et al. A parallel flash translation layer based on page group-block hybrid-mapping method , 2012, IEEE Transactions on Consumer Electronics.
[13] Lara Dolecek,et al. Tackling intracell variability in TLC Flash through tensor product codes , 2012, 2012 IEEE International Symposium on Information Theory Proceedings.
[14] Steven Swanson,et al. Providing safe, user space access to fast, solid state disks , 2012, ASPLOS XVII.
[15] Anand Sivasubramaniam,et al. Leveraging stored energy for handling power emergencies in aggressively provisioned datacenters , 2012, ASPLOS XVII.
[16] Efraim Rotem,et al. Power-Management Architecture of the Intel Microarchitecture Code-Named Sandy Bridge , 2012, IEEE Micro.
[17] Frank Hady,et al. When poll is better than interrupt , 2012, FAST.
[18] Andrea C. Arpaci-Dusseau,et al. De-indirection for flash-based SSDs with nameless writes , 2012, FAST.
[19] Jie Liu,et al. Cuanta: quantifying effects of shared on-chip resource interference for consolidated virtual machines , 2011, SoCC.
[20] Anand Sivasubramaniam,et al. Towards realizing a low cost and highly available datacenter power infrastructure , 2011, HotPower '11.
[21] Mendel Rosenblum,et al. Fast crash recovery in RAMCloud , 2011, SOSP.
[22] Petros Koutoupis. The lustre distributed filesystem , 2011 .
[23] Archana Ganapathi,et al. The Case for Evaluating MapReduce Performance Using Workload Suites , 2011, 2011 IEEE 19th Annual International Symposium on Modelling, Analysis, and Simulation of Computer and Telecommunication Systems.
[24] Eitan Frachtenberg,et al. Many-core key-value store , 2011, 2011 International Green Computing Conference and Workshops.
[25] G. Edward Suh,et al. Extracting Device Fingerprints from Flash Memory by Exploiting Physical Variations , 2011, TRUST.
[26] Jie Liu,et al. Power Budgeting for Virtualized Data Centers , 2011, USENIX Annual Technical Conference.
[27] Zili Shao,et al. MNFTL: An efficient flash translation layer for MLC NAND flash memory storage systems , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
[28] Steven Swanson,et al. Understanding the impact of power loss on flash memory , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
[29] Anand Sivasubramaniam,et al. Benefits and limitations of tapping into stored energy for datacenters , 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).
[30] Lingjia Tang,et al. The impact of memory subsystem resource sharing on datacenter applications , 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).
[31] Onur Mutlu,et al. Prefetch-aware shared-resource management for multi-core systems , 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).
[32] Thomas F. Wenisch,et al. Power management of online data-intensive services , 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).
[33] Da-Wei Chang,et al. ROSE: A Novel Flash Translation Layer for NAND Flash Memory Based on Hybrid Address Translation , 2011, IEEE Transactions on Computers.
[34] Bharadwaj Veeravalli,et al. WAFTL: A workload adaptive flash translation layer with data partition , 2011, 2011 IEEE 27th Symposium on Mass Storage Systems and Technologies (MSST).
[35] He Liu,et al. Click Trajectories: End-to-End Analysis of the Spam Value Chain , 2011, 2011 IEEE Symposium on Security and Privacy.
[36] Stijn Eyerman,et al. Mechanistic-empirical processor performance modeling for constructing CPI stacks on real hardware , 2011, (IEEE ISPASS) IEEE INTERNATIONAL SYMPOSIUM ON PERFORMANCE ANALYSIS OF SYSTEMS AND SOFTWARE.
[37] Amin Vahdat,et al. TritonSort: A Balanced Large-Scale Sorting System , 2011, NSDI.
[38] Michael M. Swift,et al. Mnemosyne: lightweight persistent memory , 2011, ASPLOS XVI.
[39] Rajesh K. Gupta,et al. NV-Heaps: making persistent objects fast and safe with next-generation, non-volatile memories , 2011, ASPLOS XVI.
[40] Tian Luo,et al. CAFTL: A Content-Aware Flash Translation Layer Enhancing the Lifespan of Flash Memory based Solid State Drives , 2011, FAST.
[41] Steven Swanson,et al. Reliably Erasing Data from Flash-Based Solid State Drives , 2011, FAST.
[42] Luis A. Lastras,et al. Practical and secure PCM systems by online detection of malicious write streams , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.
[43] Xiaodong Zhang,et al. Essential roles of exploiting internal parallelism of flash memory based solid state drives in high-speed data processing , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.
[44] Norman P. Jouppi,et al. FREE-p: Protecting non-volatile memory against both hard and soft errors , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.
[45] Dhabaleswar K. Panda,et al. Beyond block I/O: Rethinking traditional storage primitives , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.
[46] Remus Teodorescu,et al. Lifetime investigations of a lithium iron phosphate (LFP) battery system connected to a wind turbine for forecast improvement and output power gradient reduction , 2011 .
[47] Rajesh K. Gupta,et al. Moneta: A High-Performance Storage Array Architecture for Next-Generation, Non-volatile Memories , 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.
[48] Steven Swanson,et al. Beyond the datasheet: Using test beds to probe non-volatile memories' dark secrets , 2010, 2010 IEEE Globecom Workshops.
[49] Paul H. Siegel,et al. Error characterization and coding schemes for flash memories , 2010, 2010 IEEE Globecom Workshops.
[50] Xiang Hu,et al. Exploring the rogue wave phenomenon in 3D power distribution networks , 2010, 19th Topical Meeting on Electrical Performance of Electronic Packaging and Systems.
[51] Sandeep K. S. Gupta,et al. DASH: a Recipe for a Flash-based Data Intensive Supercomputer , 2010, 2010 ACM/IEEE International Conference for High Performance Computing, Networking, Storage and Analysis.
[52] Arun Jagatheesan,et al. Understanding the Impact of Emerging Non-Volatile Memories on High-Performance, IO-Intensive Computing , 2010, 2010 ACM/IEEE International Conference for High Performance Computing, Networking, Storage and Analysis.
[53] Hsien-Hsin S. Lee,et al. Design and analysis of 3D-MAPS: A many-core 3D processor with stacked memory , 2010, IEEE Custom Integrated Circuits Conference 2010.
[54] Ippokratis Pandis,et al. Aether: A Scalable Approach to Logging , 2010, Proc. VLDB Endow..
[55] Naehyuck Chang,et al. Hybrid electrical energy storage systems , 2010, 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).
[56] Gang Ren,et al. Google-Wide Profiling: A Continuous Profiling Infrastructure for Data Centers , 2010, IEEE Micro.
[57] Andrea C. Arpaci-Dusseau,et al. Removing the Costs of Indirection in Flash-based SSDs with Nameless Writes , 2010, HotStorage.
[58] Jihong Kim,et al. BlueSSD: An Open Platform for Cross-layer Experiments for NAND Flash-based SSDs , 2010 .
[59] Karin Strauss,et al. Use ECP, not ECC, for hard failures in resistive memories , 2010, ISCA.
[60] David A. Wood,et al. WiDGET: Wisconsin decoupled grid execution tiles , 2010, ISCA.
[61] Moinuddin K. Qureshi,et al. Morphable memory system: a robust architecture for exploiting multi-level phase change memories , 2010, ISCA.
[62] Yuxiong He,et al. The Cilkview scalability analyzer , 2010, SPAA '10.
[63] Feng Zhao,et al. Virtual machine power metering and provisioning , 2010, SoCC '10.
[64] José Ignacio Hidalgo,et al. Thermal-aware floorplanning exploration for 3D multi-core architectures , 2010, GLSVLSI '10.
[65] David J. Lilja,et al. High performance solid state storage under Linux , 2010, 2010 IEEE 26th Symposium on Mass Storage Systems and Technologies (MSST).
[66] Hairong Kuang,et al. The Hadoop Distributed File System , 2010, 2010 IEEE 26th Symposium on Mass Storage Systems and Technologies (MSST).
[67] Fang Liu,et al. Understanding how off-chip memory bandwidth partitioning in Chip Multiprocessors affects system performance , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.
[68] Hsien-Hsin S. Lee,et al. An optimized 3D-stacked memory architecture by exploiting excessive, high-density TSV bandwidth , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.
[69] Juhnyoung Lee,et al. A view of cloud computing , 2010, CACM.
[70] Lizy Kurian John,et al. A bandwidth-aware memory-subsystem resource management using non-invasive resource profilers for large CMP systems , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.
[71] Mor Harchol-Balter,et al. ATLAS: A scalable and high-performance scheduling algorithm for multiple memory controllers , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.
[72] Timothy Mattson,et al. A 48-Core IA-32 message-passing processor with DVFS in 45nm CMOS , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).
[73] Massimo Rossini,et al. A 3bit/cell 32Gb NAND flash memory at 34nm with 6MB/s program throughput and with dynamic 2b/cell blocks configuration mode for a program throughput increase up to 13MB/s , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).
[74] Steven Swanson,et al. Conservation cores: reducing the energy of mature computations , 2010, ASPLOS XV.
[75] David Atienza,et al. Energy-efficient variable-flow liquid cooling in 3D stacked architectures , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
[76] Mircea R. Stan,et al. FlashPower: A detailed power model for NAND flash memory , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
[77] O. Mutlu,et al. Fairness via source throttling: a configurable and high-performance fairness substrate for multi-core memory systems , 2010, ASPLOS XV.
[78] Engin Ipek,et al. Dynamically replicated memory: building reliable systems from nanoscale resistive memories , 2010, ASPLOS XV.
[79] Thomas F. Wenisch,et al. Power routing: dynamic power provisioning in the data center , 2010, ASPLOS XV.
[80] Heeseung Jo,et al. Superblock FTL: A superblock-based flash translation layer with a hybrid address translation scheme , 2010, TECS.
[81] Gokul B. Kandiraju,et al. Modeling and simulating flash based solid-state disks for operating systems , 2010, WOSP/SIPEW '10.
[82] Mahmut T. Kandemir,et al. SRP: Symbiotic Resource Partitioning of the Memory Hierarchy in CMPs , 2010, HiPEAC.
[83] Joonwon Lee,et al. Exploiting Internal Parallelism of Flash-based SSDs , 2010, IEEE Computer Architecture Letters.
[84] Steven Swanson,et al. Gordon: An Improved Architecture for Data-Intensive Applications , 2010, IEEE Micro.
[85] Hyunjin Lee,et al. Flip-N-Write: A simple deterministic technique to improve PRAM write performance, energy and endurance , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[86] Vijayalakshmi Srinivasan,et al. Enhancing lifetime and security of PCM-based Main Memory with Start-Gap Wear Leveling , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[87] Onur Mutlu,et al. Coordinated control of multiple prefetchers in multi-core systems , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[88] Jung Ho Ahn,et al. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[89] Paul H. Siegel,et al. Characterizing flash memory: Anomalies, observations, and applications , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[90] John Sartori,et al. Three scalable approaches to improving many-core throughput for a given peak power budget , 2009, 2009 International Conference on High Performance Computing (HiPC).
[91] Robert S. Germain,et al. Using the Active Storage Fabrics model to address petascale storage challenges , 2009, PDSW '09.
[92] Peng Li,et al. Nonvolatile memristor memory: Device characteristics and design implications , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.
[93] Jongmoo Choi,et al. Disk schedulers for solid state drivers , 2009, EMSOFT '09.
[94] Scott A. Mahlke,et al. CGRA express: accelerating execution using dynamic operation fusion , 2009, CASES '09.
[95] Christopher Frost,et al. Better I/O through byte-addressable, persistent memory , 2009, SOSP '09.
[96] Amar Phanishayee,et al. FAWN: a fast array of wimpy nodes , 2009, SOSP '09.
[97] Erik Elmroth,et al. Accounting and Billing for Federated Cloud Infrastructures , 2009, 2009 Eighth International Conference on Grid and Cooperative Computing.
[98] Eui-Young Chung,et al. Design and analysis of flash translation layers for multi-channel NAND flash-based storage devices , 2009, IEEE Transactions on Consumer Electronics.
[99] Eric A. Brewer,et al. Segment-based recovery: Write ahead logging revisited , 2009, Proc. VLDB Endow..
[100] Peter A. Dinda,et al. Investigating virtual passthrough I/O on commodity devices , 2009, OPSR.
[101] Tajana Simunic,et al. PDRAM: A hybrid PRAM and DRAM main memory system , 2009, 2009 46th ACM/IEEE Design Automation Conference.
[102] Ronald Morrison,et al. Orthogonal Persistence Revisited , 2009, ICOODB.
[103] Shimin Chen,et al. FlashLogging: exploiting flash devices for synchronous logging performance , 2009, SIGMOD Conference.
[104] Ralph Wittig,et al. Performance and power of cache-based reconfigurable computing , 2009, ISCA '09.
[105] Tajana Simunic,et al. Evaluating the impact of job scheduling and power management on processor lifetime for chip multiprocessors , 2009, SIGMETRICS '09.
[106] Onur Mutlu,et al. Architecting phase change memory as a scalable dram alternative , 2009, ISCA '09.
[107] Bruce Jacob,et al. The performance of PC solid-state disks (SSDs) as a function of bandwidth, concurrency, device architecture, and system organization , 2009, ISCA '09.
[108] Vijayalakshmi Srinivasan,et al. Scalable high performance main memory system using phase-change memory technology , 2009, ISCA '09.
[109] Xiaodong Zhang,et al. Understanding intrinsic characteristics and system implications of flash memory based solid state drives , 2009, SIGMETRICS '09.
[110] Jiuxing Liu,et al. Virtualization polling engine (VPE): using dedicated CPU cores to accelerate I/O virtualization , 2009, ICS.
[111] Kazumasa Tanida,et al. Chip Scale Camera Module (CSCM) using Through-Silicon-Via (TSV) , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[112] Yo-Hwan Koh,et al. A 48nm 32Gb 8-level NAND flash memory with 5.5MB/s program throughput , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[113] Hong Ding,et al. A 113mm2 32Gb 3b/cell NAND flash memory , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[114] Khanh Nguyen,et al. A 5.6MB/s 64Gb 4b/Cell NAND Flash memory in 43nm CMOS , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[115] Henri Casanova,et al. Resource Allocation Using Virtual Clusters , 2009, 2009 9th IEEE/ACM International Symposium on Cluster Computing and the Grid.
[116] Sang-Won Lee,et al. A survey of Flash Translation Layer , 2009, J. Syst. Archit..
[117] David Anderson,et al. An Evaluation of Current and Future Costs for Lithium-Ion Batteries for Use in Electrified Vehicle Powertrains , 2009 .
[118] Dongkun Shin,et al. KAST: K-associative sector translation for NAND flash memory in real-time systems , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[119] John Sartori,et al. Distributed peak power management for many-core architectures , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[120] Anand Sivasubramaniam,et al. Statistical profiling-based techniques for effective power provisioning in data centers , 2009, EuroSys '09.
[121] Antony I. T. Rowstron,et al. Migrating server storage to SSDs: analysis of tradeoffs , 2009, EuroSys '09.
[122] He Peng,et al. Parallel flow to analyze the impact of the voltage regulator model in nanoscale power distribution network , 2009, 2009 10th International Symposium on Quality Electronic Design.
[123] Thomas F. Wenisch,et al. PowerNap: eliminating server idle power , 2009, ASPLOS.
[124] Olivier Temam,et al. Reconciling specialization and flexibility through compound circuits , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.
[125] Onur Mutlu,et al. Techniques for bandwidth-efficient prefetching of linked data structures in hybrid prefetching systems , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.
[126] Scott A. Mahlke,et al. Bridging the computation gap between programmable processors and hardwired accelerators , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.
[127] Rajeev Balasubramonian,et al. Optimizing communication and capacity in a 3D stacked reconfigurable cache hierarchy , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.
[128] Hyokyung Bahn,et al. P/PA-SPTF: Parallelism-aware request scheduling algorithms for MEMS-based storage devices , 2009, TOS.
[129] Steven Swanson,et al. Gordon: using flash memory to build fast, power-efficient clusters for data-intensive applications , 2009, ASPLOS.
[130] Youngjae Kim,et al. DFTL: a flash translation layer employing demand-based selective caching of page-level address mappings , 2009, ASPLOS.
[131] Kern Koh,et al. Comparison of I/O scheduling algorithms for high parallelism MEMS-based storage devices , 2009, ICSE 2009.
[132] Jeremy Sugerman,et al. GPU virtualization on VMware's hosted I/O architecture , 2008, OPSR.
[133] John D. Davis,et al. FRP: A Nonvolatile Memory Research Platform Targeting NAND Flash , 2009 .
[134] Jack J. Dongarra,et al. Collecting Performance Data with PAPI-C , 2009, Parallel Tools Workshop.
[135] Luiz André Barroso,et al. The Datacenter as a Computer: An Introduction to the Design of Warehouse-Scale Machines , 2009, The Datacenter as a Computer: An Introduction to the Design of Warehouse-Scale Machines.
[136] Derek McAuley,et al. Standardized But Flexible I/O for Self-Virtualizing Devices , 2008, Workshop on I/O Virtualization.
[137] Muli Ben-Yehuda,et al. Scalable I/O - A Well-Architected Way to Do Scalable, Secure and Virtualized I/O , 2008, Workshop on I/O Virtualization.
[138] Lidong Zhou,et al. Transactional Flash , 2008, OSDI.
[139] Woong Hwan Ryu,et al. Multi-GHZ modeling and characterization of on-chip power delivery network , 2008, 2008 IEEE-EPEP Electrical Performance of Electronic Packaging.
[140] Amin Ansari,et al. The StageNet fabric for constructing resilient multicore systems , 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.
[141] Onur Mutlu,et al. Prefetch-Aware DRAM Controllers , 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.
[142] Engin Ipek,et al. Coordinated management of multiple interacting resources in chip multiprocessors: A machine learning approach , 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.
[143] Katsuyuki Sakuma,et al. 3D chip-stacking technology with through-silicon vias and low-volume lead-free interconnections , 2008, IBM J. Res. Dev..
[144] Jie Chen,et al. Analysis and approximation of optimal co-scheduling on Chip Multiprocessors , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).
[145] Li Shang,et al. Multi-Optimization power management for chip multiprocessors , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).
[146] Zhao Zhang,et al. Gaining insights into multicore cache partitioning: Bridging the gap between simulation and real systems , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.
[147] Young-Jin Kim,et al. LAST: locality-aware sector translation for NAND flash memory-based storage systems , 2008, OPSR.
[148] Jun Yang,et al. Thermal Management for 3D Processors via Task Scheduling , 2008, 2008 37th International Conference on Parallel Processing.
[149] Onur Mutlu,et al. Distributed order scheduling and its application to multi-core dram controllers , 2008, PODC '08.
[150] Andrew D. Gordon,et al. Refinement Types for Secure Implementations , 2008, 2008 21st IEEE Computer Security Foundations Symposium.
[151] Rina Panigrahy,et al. Design Tradeoffs for SSD Performance , 2008, USENIX ATC.
[152] Jae-Myung Kim,et al. A case for flash memory ssd in enterprise database applications , 2008, SIGMOD Conference.
[153] Scott A. Mahlke,et al. DVFS in loop accelerators using BLADES , 2008, 2008 45th ACM/IEEE Design Automation Conference.
[154] M. Breitwisch. Phase Change Memory , 2008, 2008 International Interconnect Technology Conference.
[155] Onur Mutlu,et al. Self-Optimizing Memory Controllers: A Reinforcement Learning Approach , 2008, 2008 International Symposium on Computer Architecture.
[156] Scott A. Mahlke,et al. VEAL: Virtualized Execution Accelerator for Loops , 2008, 2008 International Symposium on Computer Architecture.
[157] Trevor N. Mudge,et al. Improving NAND Flash Based Disk Caches , 2008, 2008 International Symposium on Computer Architecture.
[158] Onur Mutlu,et al. Parallelism-Aware Batch Scheduling: Enhancing both Performance and Fairness of Shared DRAM Systems , 2008, 2008 International Symposium on Computer Architecture.
[159] Stijn Eyerman,et al. System-Level Performance Metrics for Multiprogram Workloads , 2008, IEEE Micro.
[160] B. Dieny,et al. Spin-dependent phenomena and their implementation in spintronic devices , 2008, 2008 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA).
[161] V. Kamakoti,et al. Automatic Constraint Based Test Generation for Behavioral HDL Models , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[162] Yeong-Taek Lee,et al. A Zeroing Cell-to-Cell Interference Page Architecture With Temporary LSB Storing and Parallel MSB Program Scheme for MLC NAND Flash Memories , 2008, IEEE Journal of Solid-State Circuits.
[163] Yookun Cho,et al. Secure deletion for NAND flash file system , 2008, SAC '08.
[164] Li-Pin Chang,et al. A self-balancing striping scheme for NAND-flash storage systems , 2008, SAC '08.
[165] Kevin Skadron,et al. Scalable parallel programming , 2008, 2008 IEEE Hot Chips 20 Symposium (HCS).
[166] David M. Brooks,et al. Efficiency trends and limits from comprehensive microarchitectural adaptivity , 2008, ASPLOS.
[167] Vanish Talwar,et al. No "power" struggles: coordinated multi-level power management for the data center , 2008, ASPLOS.
[168] Hyojun Kim,et al. BPLRU: A Buffer Management Scheme for Improving Random Writes in Flash Storage , 2008, FAST.
[169] Vivek Sarkar,et al. Type inference for locality analysis of distributed data structures , 2008, PPoPP.
[170] David Wentzlaff,et al. Processor: A 64-Core SoC with Mesh Interconnect , 2010 .
[171] Y. Iwata,et al. Optimal Integration and Characteristics of Vertical Array Devices for Ultra-High Density, Bit-Cost Scalable Flash Memory , 2007, 2007 IEEE International Electron Devices Meeting.
[172] Yan Solihin,et al. A Framework for Providing Quality of Service in Chip Multi-Processors , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
[173] S. Winkel. Optimal versus Heuristic Global Code Scheduling , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
[174] Onur Mutlu,et al. Stall-Time Fair Memory Access Scheduling for Chip Multiprocessors , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
[175] Eby G. Friedman,et al. Power Distribution Networks with On-Chip Decoupling Capacitors , 2007 .
[176] V. Sundaram,et al. Design, Modeling, and Characterization of Embedded Capacitor Networks for Core Decoupling in the Package , 2007, IEEE Transactions on Advanced Packaging.
[177] Daniel A. Connors,et al. DracoSTM: a practical C++ approach to software transactional memory , 2007, LCSD '07.
[178] Karsten Schwan,et al. VirtualPower: coordinated power management in virtualized enterprise systems , 2007, SOSP.
[179] Heeseung Jo,et al. A group-based wear-leveling algorithm for large-capacity flash memory storage systems , 2007, CASES '07.
[180] Won-Taek Lim,et al. Effective Management of DRAM Bandwidth in Multicore Processors , 2007, 16th International Conference on Parallel Architecture and Compilation Techniques (PACT 2007).
[181] Francisco J. Cazorla,et al. MLP-Aware Dynamic Cache Partitioning , 2007, 16th International Conference on Parallel Architecture and Compilation Techniques (PACT 2007).
[182] S. Ikeda,et al. 2Mb SPRAM Design: Bi-Directional Current Write and Parallelizing-Direction Current Read Schemes Based on Spin-Transfer Torque Switching , 2007, 2007 IEEE International Conference on Integrated Circuit Design and Technology.
[183] Francisco J. Cazorla,et al. Online Prediction of Applications Cache Utility , 2007, 2007 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation.
[184] Sang-Won Lee,et al. A log buffer-based flash translation layer using fully-associative sector translation , 2007, TECS.
[185] Karsten Schwan,et al. High performance and scalable I/O virtualization via self-virtualized devices , 2007, HPDC '07.
[186] Milind Girkar,et al. EXOCHI: architecture and programming environment for a heterogeneous multi-core multithreaded system , 2007, PLDI '07.
[187] Jangho Park,et al. Integration Technology of 30nm Generation Multi-Level NAND Flash for 64Gb NAND Flash Memory , 2007, 2007 IEEE Symposium on VLSI Technology.
[188] Yan Solihin,et al. QoS policies and architecture for cache/memory in CMP platforms , 2007, SIGMETRICS '07.
[189] Engin Ipek,et al. Core fusion: accommodating software diversity in chip multiprocessors , 2007, ISCA '07.
[190] James E. Smith,et al. Virtual private caches , 2007, ISCA '07.
[191] Wolf-Dietrich Weber,et al. Power provisioning for a warehouse-sized computer , 2007, ISCA '07.
[192] Milo M. K. Martin,et al. Making the fast case common and the uncommon case simple in unbounded transactional memory , 2007, ISCA '07.
[193] Hao Yu,et al. Off-chip Decoupling Capacitor Allocation for Chip Package Co-Design , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[194] Massoud Pedram,et al. Optimal Selection of Voltage Regulator Modules in a Power Delivery Network , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[195] Chanik Park,et al. A Re-configurable FTL (Flash Translation Layer) Architecture for NAND Flash based Applications , 2007, 18th IEEE/IFIP International Workshop on Rapid System Prototyping (RSP '07).
[196] Gabi Dreo Rodosek,et al. An Accounting Model for Dynamic Virtual Organizations , 2007, Seventh IEEE International Symposium on Cluster Computing and the Grid (CCGrid '07).
[197] Xiao Zhang,et al. Processor Hardware Counter Statistics as a First-Class System Resource , 2007, HotOS.
[198] V. Kamakoti,et al. Power Virus Generation Using Behavioral Models of Circuits , 2007, 25th IEEE VLSI Test Symposium (VTS'07).
[199] Yuan Xie,et al. Processor Design in 3D Die-Stacking Technologies , 2007, IEEE Micro.
[200] Steven Swanson,et al. The WaveScalar architecture , 2007, TOCS.
[201] Matt T. Yourst. PTLsim: A Cycle Accurate Full System x86-64 Microarchitectural Simulator , 2007, 2007 IEEE International Symposium on Performance Analysis of Systems & Software.
[202] Michael Isard,et al. A design for high-performance flash disks , 2007, OPSR.
[203] Li-Pin Chang,et al. On efficient wear leveling for large-scale flash-memory storage systems , 2007, SAC '07.
[204] Gabriel H. Loh,et al. Thermal Herding: Microarchitecture Techniques for Controlling Hotspots in High-Performance 3D-Integrated Processors , 2007, 2007 IEEE 13th International Symposium on High Performance Computer Architecture.
[205] Alan L. Cox,et al. Concurrent Direct Network Access for Virtual Machine Monitors , 2007, 2007 IEEE 13th International Symposium on High Performance Computer Architecture.
[206] Onur Mutlu,et al. Feedback Directed Prefetching: Improving the Performance and Bandwidth-Efficiency of Hardware Prefetchers , 2007, 2007 IEEE 13th International Symposium on High Performance Computer Architecture.
[207] Narayanan Vijaykrishnan,et al. Architecting Microprocessor Components in 3D Design Space , 2007, 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07).
[208] V. T. Rajan,et al. An efficient on-the-fly cycle collection , 2005, TOPL.
[209] Sung Kyu Lim,et al. Multiobjective Microarchitectural Floorplanning for 2-D and 3-D ICs , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[210] James E. Smith,et al. Fair Queuing Memory Systems , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[211] Yale N. Patt,et al. Utility-Based Cache Partitioning: A Low-Overhead, High-Performance, Runtime Mechanism to Partition Shared Caches , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[212] Margaret Martonosi,et al. An Analysis of Efficient Multi-Core Global Power Management Policies: Maximizing Performance for a Given Power Budget , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[213] Sangyeun Cho,et al. Managing Distributed, Shared L2 Caches through OS-Level Page Allocation , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[214] Kinam Kim,et al. Highly Manufacturable 32Gb Multi -- Level NAND Flash Memory with 0.0098 μm2 Cell Size using TANOS(Si - Oxide - Al2O3 - TaN) Cell Technology , 2006, 2006 International Electron Devices Meeting.
[215] Kinam Kim,et al. Three Dimensionally Stacked NAND Flash Memory Technology Using Stacking Single Crystal Si Layers on ILD and TANOS Structure for Beyond 30nm Node , 2006, 2006 International Electron Devices Meeting.
[216] Eric A. Brewer,et al. Stasis: flexible transactional storage , 2006, OSDI '06.
[217] Carlos Maltzahn,et al. Ceph: a scalable, high-performance distributed file system , 2006, OSDI '06.
[218] Seth Copen Goldstein,et al. Tartan: evaluating spatial computation for whole program execution , 2006, ASPLOS XII.
[219] David M. Brooks,et al. Accurate and efficient regression modeling for microarchitectural performance and power prediction , 2006, ASPLOS XII.
[220] David M. Brooks,et al. Efficient architectures through application clustering and architectural heterogeneity , 2006, CASES '06.
[221] Heeseung Jo,et al. A superblock-based flash translation layer for NAND flash memory , 2006, EMSOFT '06.
[222] Won-Taek Lim,et al. Architectural support for operating system-driven CMP cache management , 2006, 2006 International Conference on Parallel Architectures and Compilation Techniques (PACT).
[223] Srihari Makineni,et al. Communist, Utilitarian, and Capitalist cache policies on CMPs: Caches as a shared resource , 2006, 2006 International Conference on Parallel Architectures and Compilation Techniques (PACT).
[224] Norman P. Jouppi,et al. Core architecture optimization for heterogeneous chip multiprocessors , 2006, 2006 International Conference on Parallel Architectures and Compilation Techniques (PACT).
[225] Al Davis,et al. Design trade-offs for user-level I/O architectures , 2006, IEEE Transactions on Computers.
[226] Giorgio C. Buttazzo,et al. Research trends in real-time computing for embedded systems , 2006, SIGBED.
[227] Ali-Reza Adl-Tabatabai,et al. McRT-Malloc: a scalable transactional memory allocator , 2006, ISMM '06.
[228] Dhabaleswar K. Panda,et al. High Performance VMM-Bypass I/O in Virtual Machines , 2006, USENIX Annual Technical Conference, General Track.
[229] Alan L. Cox,et al. Optimizing network virtualization in Xen , 2006 .
[230] Mahmut T. Kandemir,et al. Design and Management of 3D Chip Multiprocessors Using Network-in-Memory , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).
[231] David E. Irwin,et al. Ensemble-level Power Management for Dense Blade Servers , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).
[232] Gabriel H. Loh,et al. Dynamic instruction schedulers in a 3-dimensional integration technology , 2006, GLSVLSI '06.
[233] Sandhya Dwarkadas,et al. Compatible phase co-scheduling on a CMP of multi-threaded processors , 2006, Proceedings 20th IEEE International Parallel & Distributed Processing Symposium.
[234] Young Jin Nam,et al. Design and evaluation of an efficient proportional-share disk scheduling algorithm , 2006, Future Gener. Comput. Syst..
[235] Bratin Saha,et al. McRT-STM: a high performance software transactional memory system for a multi-core runtime , 2006, PPoPP '06.
[236] Gürhan Küçük,et al. Dynamic resizing of superscalar datapath components for energy efficiency , 2006, IEEE Transactions on Computers.
[237] David Eisenstat,et al. Lowering the Overhead of Nonblocking Software Transactional Memory , 2006 .
[238] Jens H. Krüger,et al. A Survey of General‐Purpose Computation on Graphics Hardware , 2007, Eurographics.
[239] David A. Bader,et al. Design and Implementation of the HPCS Graph Analysis Benchmark on Symmetric Multiprocessors , 2005, HiPC.
[240] Jian Li,et al. Power-performance considerations of parallel computing on chip multiprocessors , 2005, TACO.
[241] James A. Kahle,et al. The Cell Processor Architecture , 2005, MICRO.
[242] Aamer Jaleel,et al. DRAMsim: a memory system simulator , 2005, CARN.
[243] Francisco J. Cazorla,et al. Architectural support for real-time task scheduling in SMT processors , 2005, CASES '05.
[244] Alper Demir,et al. Characterizing and exploiting task load variability and correlation for energy management in multi core systems , 2005, 3rd Workshop on Embedded Systems for Real-Time Multimedia, 2005..
[245] Uming Ko,et al. 90nm low leakage SoC design techniques for wireless applications , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..
[246] William N. Scherer,et al. Advanced contention management for dynamic software transactional memory , 2005, PODC '05.
[247] S. Caselli,et al. A Technique for Adaptive Scheduling of Soft Real-Time Tasks , 2005, Real-Time Systems.
[248] Dhabaleswar K. Panda,et al. High performance support of parallel virtual file system (PVFS2) over Quadrics , 2005, ICS '05.
[249] Jaehyuk Huh,et al. A NUCA substrate for flexible CMP cache sharing , 2005, ICS.
[250] Vincent W. Freeh,et al. Boosting Data Center Performance Through Non-Uniform Power Allocation , 2005, Second International Conference on Autonomic Computing (ICAC'05).
[251] Harish Patil,et al. Pin: building customized program analysis tools with dynamic instrumentation , 2005, PLDI '05.
[252] Sivan Toledo,et al. Algorithms and data structures for flash memories , 2005, CSUR.
[253] Daler N. Rakhmatov. Battery voltage prediction for portable systems , 2005, 2005 IEEE International Symposium on Circuits and Systems.
[254] Scott A. Mahlke,et al. An architecture framework for transparent instruction set customization in embedded processors , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).
[255] Tal Garfinkel,et al. Virtual machine monitors: current technology and future trends , 2005, Computer.
[256] Maurice Herlihy,et al. Virtualizing transactional memory , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).
[257] Ravi Rajwar,et al. The impact of performance asymmetry in emerging multicore architectures , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).
[258] Peter Honeyman,et al. Exporting storage systems in a scalable manner with pNFS , 2005, 22nd IEEE / 13th NASA Goddard Conference on Mass Storage Systems and Technologies (MSST'05).
[259] Margo I. Seltzer,et al. Performance of Multithreaded Chip Multiprocessors and Implications for Operating System Design , 2005, USENIX Annual Technical Conference, General Track.
[260] Yan Solihin,et al. Predicting inter-thread cache contention on a chip multi-processor architecture , 2005, 11th International Symposium on High-Performance Computer Architecture.
[261] Bradley C. Kuszmaul,et al. Unbounded transactional memory , 2005, 11th International Symposium on High-Performance Computer Architecture.
[262] Norman P. Jouppi,et al. Conjoined-Core Chip Multiprocessing , 2004, 37th International Symposium on Microarchitecture (MICRO-37'04).
[263] Dan Grossman,et al. Experience with safe manual memory-management in cyclone , 2004, ISMM '04.
[264] Bryan Black,et al. 3D processing technology and its impact on iA32 microprocessors , 2004, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..
[265] John Paul Shen,et al. Best of both latency and throughput , 2004, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..
[266] Seth Copen Goldstein,et al. Spatial computation , 2004, ASPLOS XI.
[267] Sanjeev Kumar,et al. Dynamic tracking of page miss ratio curve for memory management , 2004, ASPLOS XI.
[268] S. Kim,et al. Fair cache sharing and partitioning in a chip multiprocessor architecture , 2004, Proceedings. 13th International Conference on Parallel Architecture and Compilation Techniques, 2004. PACT 2004..
[269] Pradip Bose,et al. Microarchitectural techniques for power gating of execution units , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).
[270] Pat Hanrahan,et al. Brook for GPUs: stream computing on graphics hardware , 2004, ACM Trans. Graph..
[271] Giorgio C. Buttazzo,et al. Resource Reservation in Dynamic Real-Time Systems , 2004, Real-Time Systems.
[272] William J. Dally,et al. Evaluating the Imagine stream architecture , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..
[273] Norman P. Jouppi,et al. Single-ISA heterogeneous multi-core architectures for multithreaded workload performance , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..
[274] Kunle Olukotun,et al. Transactional memory coherence and consistency , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..
[275] Henry Hoffmann,et al. Evaluation of the Raw microprocessor: an exposed-wire-delay architecture for ILP and streams , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..
[276] R. Bez,et al. An 8Mb demonstrator for high-density 1.8V Phase-Change Memories , 2004, 2004 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.04CH37525).
[277] Holger H. Hoos,et al. UBCSAT: An Implementation and Experimentation Environment for SLS Algorithms for SAT & MAX-SAT , 2004, SAT.
[278] G. Edward Suh,et al. Dynamic Partitioning of Shared Cache Memory , 2004, The Journal of Supercomputing.
[279] Vikram S. Adve,et al. LLVM: a compilation framework for lifelong program analysis & transformation , 2004, International Symposium on Code Generation and Optimization, 2004. CGO 2004..
[280] Mahmut T. Kandemir,et al. Organizing the last line of defense before hitting the memory wall for CMPs , 2004, 10th International Symposium on High Performance Computer Architecture (HPCA'04).
[281] Rudolf Bayer,et al. Concurrency of operations on B-trees , 1994, Acta Informatica.
[282] David Walker,et al. Dynamic Typing with Dependent Types , 2004, IFIP TCS.
[283] Alan Burns,et al. Multiple Servers and Capacity Sharing for Implementing Flexible Scheduling , 2004, Real-Time Systems.
[284] Adam,et al. Allocating decoupling capacitors to reduce simultaneous switching noise on chips , 2004 .
[285] Eran Gabber,et al. The Case Against User-Level Networking , 2004 .
[286] Norman P. Jouppi,et al. Single-ISA heterogeneous multi-core architectures: the potential for processor power reduction , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..
[287] Michael C. Huang,et al. Dynamically Tuning Processor Resources with Adaptive Processing , 2003, Computer.
[288] Jung Ho Ahn,et al. Merrimac: Supercomputing with Streams , 2003, ACM/IEEE SC 2003 Conference (SC'03).
[289] Ravi R. Iyer. On modeling and analyzing cache hierarchies using CASPER , 2003, 11th IEEE/ACM International Symposium on Modeling, Analysis and Simulation of Computer Telecommunications Systems, 2003. MASCOTS 2003..
[290] Howard Gobioff,et al. The Google file system , 2003, SOSP '03.
[291] Derek McAuley,et al. A case for virtual channel processors , 2003, NICELI '03.
[292] M.B. Taylor,et al. Energy characterization of a tiled architecture processor with on-chip networks , 2003, Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003. ISLPED '03..
[293] Brent Callaghan,et al. NFS over RDMA , 2003, NICELI '03.
[294] Sarma B. K. Vrudhula,et al. Energy management for battery-powered embedded systems , 2003, TECS.
[295] Maurice Herlihy,et al. Software transactional memory for dynamic-sized data structures , 2003, PODC '03.
[296] Sivakumar Velusamy,et al. Temperature-aware microarchitecture , 2003, 30th Annual International Symposium on Computer Architecture, 2003. Proceedings..
[297] Pradip Bose,et al. Energy efficient co-adaptive instruction fetch and issue , 2003, 30th Annual International Symposium on Computer Architecture, 2003. Proceedings..
[298] Luca Benini,et al. Clock-tree power optimization based on RTL clock-gating , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[299] Vivek Tiwari,et al. Microarchitectural dI/dt Control , 2003, IEEE Des. Test Comput..
[300] Tara M. Madhyastha,et al. Proceedings of Fast '03: 2nd Usenix Conference on File and Storage Technologies 2nd Usenix Conference on File and Storage Technologies Optimizing Probe-based Storage , 2022 .
[301] Arif Merchant,et al. Using MEMS-Based Storage in Disk Arrays , 2003, FAST.
[302] Seth Copen Goldstein,et al. Optimizing memory accesses for spatial computation , 2003, International Symposium on Code Generation and Optimization, 2003. CGO 2003..
[303] Yiran Chen,et al. Deterministic clock gating for microprocessor power reduction , 2003, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..
[304] Massoud Pedram,et al. An analytical model for predicting the remaining battery capacity of lithium-ion batteries , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.
[305] Carl A. Waldspurger,et al. Memory resource management in VMware ESX server , 2002, OSDI '02.
[306] Shail Aditya,et al. Cycle-time aware architecture synthesis of custom hardware accelerators , 2002, CASES '02.
[307] Brad Calder,et al. Automatically characterizing large scale program behavior , 2002, ASPLOS X.
[308] Doug Burger,et al. An adaptive, non-uniform cache structure for wire-delay dominated on-chip caches , 2002, ASPLOS X.
[309] Yong Wang,et al. Energy-efficient computing for wildlife tracking: design tradeoffs and early experiences with ZebraNet , 2002, ASPLOS X.
[310] Michael L. Scott,et al. Integrating adaptive on-chip storage structures for reduced dynamic power , 2002, Proceedings.International Conference on Parallel Architectures and Compilation Techniques.
[311] Chang Liu,et al. Disk scheduling policies with lookahead , 2002, PERV.
[312] Josep Llosa,et al. A comparative study of modulo scheduling techniques , 2002, ICS '02.
[313] D. Chen,et al. Task scheduling and voltage selection for energy minimization , 2002, Proceedings 2002 Design Automation Conference (IEEE Cat. No.02CH37324).
[314] Jonathan Adams,et al. Design Evolution of the EROS Single-Level Store , 2002, USENIX Annual Technical Conference, General Track.
[315] Diana Marculescu,et al. Power and performance evaluation of globally asynchronous locally synchronous processors , 2002, Proceedings 29th Annual International Symposium on Computer Architecture.
[316] T. Mudge,et al. Drowsy caches: simple techniques for reducing leakage power , 2002, Proceedings 29th Annual International Symposium on Computer Architecture.
[317] Kai Li,et al. Experiences with VI communication for database storage , 2002, Proceedings 29th Annual International Symposium on Computer Architecture.
[318] Sang Lyul Min,et al. A space-efficient flash translation layer for CompactFlash systems , 2002, IEEE Trans. Consumer Electron..
[319] G. Edward Suh,et al. A new memory monitoring scheme for memory-aware scheduling and partitioning , 2002, Proceedings Eighth International Symposium on High Performance Computer Architecture.
[320] Michael L. Scott,et al. Energy-efficient processor design using multiple clock domains with dynamic voltage and frequency scaling , 2002, Proceedings Eighth International Symposium on High Performance Computer Architecture.
[321] Frank B. Schmuck,et al. GPFS: A Shared-Disk File System for Large Computing Clusters , 2002, FAST.
[322] Gregory R. Ganger,et al. Timing-Accurate Storage Emulation , 2002, FAST.
[323] Per Brinch Hansen. The Origin of Concurrent Programming , 2002, Springer New York.
[324] Kaushik Roy,et al. Reducing set-associative cache energy via way-prediction and selective direct-mapping , 2001, Proceedings. 34th ACM/IEEE International Symposium on Microarchitecture. MICRO-34.
[325] Brad Calder,et al. Basic block distribution analysis to find periodic behavior and simulation points in applications , 2001, Proceedings 2001 International Conference on Parallel Architectures and Compilation Techniques.
[326] Beng-Hong Lim,et al. Virtualizing I/O Devices on VMware Workstation's Hosted Virtual Machine Monitor , 2001, USENIX Annual Technical Conference, General Track.
[327] Albert Wang,et al. Hardware/software instruction set configurability for system-on-chip processors , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[328] David Gay,et al. Language support for regions , 2001, PLDI '01.
[329] V. T. Rajan,et al. Concurrent Cycle Collection in Reference Counted Systems , 2001, ECOOP.
[330] Lawrence Rauchwerger,et al. Adaptive reduction parallelization techniques , 2000, ICS '00.
[331] Todd M. Austin,et al. CryptoManiac: a fast flexible architecture for secure communication , 2001, Proceedings 28th Annual International Symposium on Computer Architecture.
[332] M. Martonosi,et al. Cache decay: exploiting generational behavior to reduce cache leakage power , 2001, Proceedings 28th Annual International Symposium on Computer Architecture.
[333] David M. Brooks,et al. A circuit level implementation of an adaptive issue queue for power-aware microprocessors , 2001, GLSVLSI '01.
[334] Sujit Dey,et al. Battery life estimation of mobile embedded systems , 2001, VLSI Design 2001. Fourteenth International Conference on VLSI Design.
[335] Jack J. Dongarra,et al. End-user Tools for Application Performance Analysis Using Hardware Counters , 2001, ISCA PDCS.
[336] Yu Bai,et al. Dynamically Reconfiguring Processor Resources to Reduce Power Consumption in High-Performance Processors , 2000, PACS.
[337] Peng Xu,et al. Investigation of candidate VRM topologies for future microprocessors , 2000 .
[338] A. Snavely,et al. Symbiotic jobscheduling for a simultaneous mutlithreading processor , 2000, SIGP.
[339] J. Griffin,et al. Designing computer systems with MEMS-based storage , 2000, SIGP.
[340] John L. Henning. SPEC CPU2000: Measuring CPU Performance in the New Millennium , 2000, Computer.
[341] Sanjoy K. Baruah,et al. Efficient scheduling of real-time multi-task applications in dynamic systems , 2000, Proceedings Sixth IEEE Real-Time Technology and Applications Symposium. RTAS 2000.
[342] Margaret Martonosi,et al. Wattch: a framework for architectural-level power analysis and optimizations , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).
[343] Andreas Moshovos,et al. CHIMAERA: a high-performance architecture with a tightly-coupled reconfigurable functional unit , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).
[344] William J. Dally,et al. Memory access scheduling , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).
[345] Alonso Marquez,et al. Fast portable orthogonally persistent Java , 2000, Softw. Pract. Exp..
[346] Kathryn S. McKinley,et al. Hoard: a scalable memory allocator for multithreaded applications , 2000, SIGP.
[347] Vivek Tiwari,et al. Inductive noise reduction at the architectural level , 2000, VLSI Design 2000. Wireless and Digital Imaging in the Millennium. Proceedings of 13th International Conference on VLSI Design.
[348] Krishna Shenai,et al. Microelectronics Packaging , 2000, The VLSI Handbook.
[349] David H. Albonesi,et al. Selective cache ways: on-demand cache resource allocation , 1999, MICRO-32. Proceedings of the 32nd Annual ACM/IEEE International Symposium on Microarchitecture.
[350] B. Ramakrishna Rau,et al. Automatic architectural synthesis of VLIW and EPIC processors , 1999, Proceedings 12th International Symposium on System Synthesis.
[351] Ruei-Chuan Chang,et al. Cleaning policies in mobile computers using flash memory , 1999, J. Syst. Softw..
[352] Sang-goo Lee,et al. A new flash memory management for flash storage system , 1999, Proceedings. Twenty-Third Annual International Computer Software and Applications Conference (Cat. No.99CB37032).
[353] Luca Benini,et al. Symbolic synthesis of clock-gating logic for power optimization of synchronous controllers , 1999, TODE.
[354] M. Budiu,et al. PipeRench: a coprocessor for streaming multimedia acceleration , 1999, Proceedings of the 26th International Symposium on Computer Architecture (Cat. No.99CB36367).
[355] Peter Druschel,et al. Resource containers: a new facility for resource management in server systems , 1999, OSDI '99.
[356] R.H. Dennard,et al. Design Of Ion-implanted MOSFET's with Very Small Physical Dimensions , 1974, Proceedings of the IEEE.
[357] Yan Solihin,et al. Scal-Tool: Pinpointing and Quantifying Scalability Bottlenecks in DSM Multiprocessors , 1999, ACM/IEEE SC 1999 Conference (SC'99).
[358] Frank Pfenning,et al. Dependent types in practical programming , 1999, POPL '99.
[359] Al Davis,et al. Improving I/O performance with a conditional store buffer , 1998, Proceedings. 31st Annual ACM/IEEE International Symposium on Microarchitecture.
[360] Richard E. Kessler,et al. The Alpha 21264 microprocessor architecture , 1998, Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273).
[361] Keshab K. Parhi,et al. Low power SRAM design using hierarchical divided bit-line approach , 1998, Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273).
[362] Anoop Gupta,et al. Performance isolation: sharing and isolation in shared-memory multiprocessors , 1998, ASPLOS VIII.
[363] Jim Zelenka,et al. A cost-effective, high-bandwidth storage architecture , 1998, ASPLOS VIII.
[364] Yong Luo,et al. Development and validation of a hierarchical memory model incorporating CPU- and memory-operation overlap model , 1998, WOSP '98.
[365] Thomas D. Burd,et al. The simulation and evaluation of dynamic voltage scaling algorithms , 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).
[366] Ken Takeuchi,et al. A multipage cell architecture for high-speed programming multilevel NAND flash memories , 1998, IEEE J. Solid State Circuits.
[367] Prashant J. Shenoy,et al. Cello: A Disk Scheduling Framework for Bext Generation Operating Systems , 1998, SIGMETRICS.
[368] Miodrag Potkonjak,et al. MediaBench: a tool for evaluating and synthesizing multimedia and communications systems , 1997, Proceedings of 30th Annual International Symposium on Microarchitecture.
[369] Peter M. Chen,et al. Free transactions with Rio Vista , 1997, SOSP.
[370] Barbara Liskov,et al. Partitioned garbage collection of a large object store , 1997, SIGMOD '97.
[371] James E. Smith,et al. Complexity-Effective Superscalar Processors , 1997, Conference Proceedings. The 24th Annual International Symposium on Computer Architecture.
[372] Philip G. Emma,et al. Understanding some simple processor-performance limits , 1997, IBM J. Res. Dev..
[373] James R. Larus,et al. Exploiting hardware performance counters with flow and context sensitive profiling , 1997, PLDI '97.
[374] Melvin A. Breuer,et al. Analysis of ground bounce in deep sub-micron circuits , 1997, Proceedings. 15th IEEE VLSI Test Symposium (Cat. No.97TB100125).
[375] John Wawrzynek,et al. Garp: a MIPS processor with a reconfigurable coprocessor , 1997, Proceedings. The 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines Cat. No.97TB100186).
[376] Christoforos E. Kozyrakis,et al. A case for intelligent RAM , 1997, IEEE Micro.
[377] Mads Tofte,et al. Region-based Memory Management , 1997, Inf. Comput..
[378] Alexander A. Stepanov,et al. Mime: a high performance parallel storage device with strong recovery guarantees , 1997 .
[379] Malcolm P. Atkinson,et al. An orthogonally persistent Java , 1996, SGMD.
[380] S. Turner,et al. Performance Analysis Using the MIPS R10000 Performance Counters , 1996, Proceedings of the 1996 ACM/IEEE Conference on Supercomputing.
[381] Hyung-Kyu Lim,et al. A 117-mm2 3.3-V only 128-Mb multilevel NAND flash memory for mass storage applications , 1996, IEEE J. Solid State Circuits.
[382] Milon Mackey,et al. An implementation of the Hamlyn sender-managed interface architecture , 1996, OSDI '96.
[383] Carl Ebeling,et al. RaPiD - Reconfigurable Pipelined Datapath , 1996, FPL.
[384] Alexander L. Wolf,et al. Semi-automatic, self-adaptive control of garbage collection rates in object databases , 1996, SIGMOD '96.
[385] Miguel Castro,et al. Safe and efficient sharing of persistent objects in Thor , 1996, SIGMOD '96.
[386] Robert Grimm,et al. Atomic recovery units: failure atomicity for logical disks , 1996, Proceedings of 16th International Conference on Distributed Computing Systems.
[387] Hussein M. Abdel-Wahab,et al. A proportional share resource allocation algorithm for real-time, time-shared systems , 1996, 17th IEEE Real-Time Systems Symposium.
[388] Frank G. Soltis. Inside the As/400 , 1996 .
[389] Kai Li,et al. Protected, user-level DMA for the SHRIMP network interface , 1996, Proceedings. Second International Symposium on High-Performance Computer Architecture.
[390] David S. Munro,et al. PMOS: A Complete and Coarse-Grained Incremental Garbage Collector for Persistent Object Stores , 1996, POS.
[391] Qin Zheng,et al. DART — A Low Overhead ATM Network Interface Chip , 1996 .
[392] Thorsten von Eicken,et al. U-Net: a user-level network interface for parallel and distributed computing , 1995, SOSP.
[393] Hal Wasserman,et al. Comparing algorithm for dynamic speed-setting of a low-power CPU , 1995, MobiCom '95.
[394] L. Ellram. Total cost of ownership: an analysis approach for purchasing , 1995 .
[395] Nir Shavit,et al. Software transactional memory , 1995, PODC '95.
[396] J. Singh,et al. The SPLASH-2 programs: characterization and methodological considerations , 1995, Proceedings 22nd Annual International Symposium on Computer Architecture.
[397] Michael D. Smith,et al. A high-performance microarchitecture with hardware-programmable functional units , 1994, Proceedings of MICRO-27. The 27th Annual IEEE/ACM International Symposium on Microarchitecture.
[398] R. G. Cattell. Object Data Management: Object-Oriented and Extended , 1994 .
[399] David J. DeWitt,et al. QuickStore: A high performance mapped object store , 1994, SIGMOD '94.
[400] Yale N. Patt,et al. Scheduling algorithms for modern disk drives , 1994, SIGMETRICS 1994.
[401] John Wilkes,et al. An introduction to disk drive modeling , 1994, Computer.
[402] James Lau,et al. File System Design for an NFS File Server Appliance , 1994, USENIX Winter.
[403] David Kotz,et al. A Detailed Simulation Model of the HP 97560 Disk Drive , 1994 .
[404] Wilson C. Hsieh,et al. The logical disk: a new approach to improving file systems , 1994, SOSP '93.
[405] David K. Gifford,et al. Concurrent compacting garbage collection of a persistent heap , 1993, SOSP '93.
[406] Mahadev Satyanarayanan,et al. Lightweight recoverable virtual memory , 1993, SOSP '93.
[407] Elliot K. Kolodner,et al. Atomic incremental garbage collection and recovery for a large stable heap , 1993, SIGMOD Conference.
[408] A. Agarwal,et al. Column-associative Caches: A Technique For Reducing The Miss Rate Of Direct-mapped Caches , 1993, Proceedings of the 20th Annual International Symposium on Computer Architecture.
[409] Maurice Herlihy,et al. Transactional Memory: Architectural Support For Lock-free Data Structures , 1993, Proceedings of the 20th Annual International Symposium on Computer Architecture.
[410] Rafael Dueire Lins. Cyclic Reference Counting with Lazy Mark-Scan , 1992, Inf. Process. Lett..
[411] John Turek,et al. Optimal Partitioning of Cache Memory , 1992, IEEE Trans. Computers.
[412] Mary Baker,et al. Non-volatile memory for fast, reliable file systems , 1992, ASPLOS V.
[413] Hamid Pirahesh,et al. ARIES: a transaction recovery method supporting fine-granularity locking and partial rollbacks using write-ahead logging , 1998 .
[414] Margo I. Seltzer,et al. LIBTP: Portable, Modular Transactions for UNIX , 1992 .
[415] Vivek Singhal,et al. Texas: An Efficient, Portable Persistent Store , 1992, POS.
[416] Jacob Stein,et al. The GemStone object database management system , 1991, CACM.
[417] Jack A. Orenstein,et al. The ObjectStore database system , 1991, CACM.
[418] Spencer W. Ng,et al. Improving Disk Performance Via Latency Reduction , 1991, IEEE Trans. Computers.
[419] Rosita Wachenchauzer,et al. Cycle Reference Counting with Local Mark-Scan , 1990, Inf. Process. Lett..
[420] Margo I. Seltzer,et al. Disk Scheduling Revisited , 1990 .
[421] Richard E. Kessler,et al. Inexpensive Implementations Of Set-Associativity , 1989, The 16th Annual International Symposium on Computer Architecture.
[422] Mark N. Wegman,et al. An efficient method of computing static single assignment form , 1989, POPL '89.
[423] Barbara Liskov,et al. Distributed programming in Argus , 1988, CACM.
[424] Craig Harris,et al. Combining language and database advances in an object-oriented development environment , 1987, OOPSLA '87.
[425] Roger L. Haskin,et al. Recovery management in QuickSilver , 1988, TOCS.
[426] Alan Jay Smith,et al. Aspects of cache memory and instruction buffer performance , 1987 .
[427] Adi Shamir,et al. How to Reuse a "Write-Once" Memory , 1982, Inf. Control..
[428] Murray Hill,et al. Lint, a C Program Checker , 1978 .
[429] Vincent J. Kruskal,et al. LRU Stack Processing , 1975, IBM J. Res. Dev..
[430] Tad B. Pinkerton,et al. A comparative analysis of disk scheduling policies , 1972, CACM.
[431] Irving L. Traiger,et al. Evaluation Techniques for Storage Hierarchies , 1970, IBM Syst. J..
[432] George E. Collins,et al. A method for overlapping and erasure of lists , 1960, CACM.