An Integrated Approach to Thermal Management in High-Level Synthesis

Thermal effects are becoming an important factor in the design of integrated circuits due to the adverse impact of temperature on performance, reliability, leakage, and chip packaging costs. Making all phases of the design flow aware of this physical phenomenon helps in reaching faster design closure. In this paper, we present an integrated approach to thermal management in architectural synthesis. Our synthesis flow combines temperature-aware scheduling and binding based on feedback from thermal simulation. We show that our flow is effective in preventing hotspot formation and creating an even thermal profile of the resources. Our integrated thermal management technique on average reduces the peak temperature of the resources by 7.34 degC when compared to a thermal unaware flow without increasing the number of resources across our set of benchmarks

[1]  Timothy S. Fisher,et al.  Transient thermal management of portable electronics using heat storage and dynamic power dissipation control , 1998 .

[2]  Jason Cong,et al.  A thermal-driven floorplanning algorithm for 3D ICs , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

[3]  Prithviraj Banerjee,et al.  Simultaneous scheduling, binding and floorplanning in high-level synthesis , 1998, Proceedings Eleventh International Conference on VLSI Design.

[4]  Niraj K. Jha,et al.  Interconnect-aware high-level synthesis for low power , 2002, ICCAD 2002.

[5]  Ramesh Karri,et al.  Simultaneous scheduling and binding for power minimization during microarchitecture synthesis , 1995, ISLPED '95.

[6]  Kevin Skadron,et al.  Temperature-aware microarchitecture , 2003, ISCA '03.

[7]  Wayne H. Wolf,et al.  TGFF: task graphs for free , 1998, Proceedings of the Sixth International Workshop on Hardware/Software Codesign. (CODES/CASHE'98).

[8]  Andrew V. Goldberg,et al.  An efficient implementation of a scaling minimum-cost flow algorithm , 1993, IPCO.

[9]  Massoud Pedram,et al.  Register Allocation and Binding for Low Power , 1995, 32nd Design Automation Conference.

[10]  Kevin Skadron,et al.  A Case for Thermal-Aware Floorplanning at the Microarchitectural Level , 2005, J. Instr. Level Parallelism.

[11]  Alice C. Parker,et al.  3D scheduling: high-level synthesis with floorplanning , 1991, 28th ACM/IEEE Design Automation Conference.

[12]  Mahmut T. Kandemir,et al.  Thermal-aware task allocation and scheduling for embedded systems , 2005, Design, Automation and Test in Europe.

[13]  Massoud Pedram,et al.  Standby and Active Leakage Current Control and Minimization in CMOS VLSI Circuits , 2005, IEICE Trans. Electron..

[14]  Fei Li,et al.  Microarchitecture level power and thermal simulation considering temperature dependent leakage model , 2003, ISLPED '03.

[15]  Kaustav Banerjee,et al.  Simultaneous optimization of supply and threshold voltages for low-power and high-performance circuits in the leakage dominant era , 2004, Proceedings. 41st Design Automation Conference, 2004..

[16]  Seda Ogrenci Memik,et al.  Temperature-aware resource allocation and binding in high-level synthesis , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[17]  Fadi J. Kurdahi,et al.  Layout-driven RTL binding techniques for high-level synthesis , 1996, Proceedings of 9th International Symposium on Systems Synthesis.

[18]  Martin D. F. Wong,et al.  A matrix synthesis approach to thermal placement , 1997, ISPD '97.

[19]  Sung-Mo Kang,et al.  Standard cell placement for even on-chip thermal distribution , 1999, ISPD '99.

[20]  Koen De Bosschere,et al.  2FAR: A 2bcgskew Predictor Fused by an Alloyed Redundant History Skewed Perceptron Branch Predictor , 2005, J. Instr. Level Parallelism.

[21]  Kevin Skadron,et al.  Compact thermal modeling for temperature-aware design , 2004, Proceedings. 41st Design Automation Conference, 2004..

[22]  Mohamed I. Elmasry,et al.  Simultaneous scheduling and allocation for cost constrained optimal architectural synthesis , 1991, DAC '91.

[23]  Margaret Martonosi,et al.  Dynamic thermal management for high-performance microprocessors , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.

[24]  XuMin,et al.  Layout-driven RTL binding techniques for high-level synthesis using accurate estimators , 1997 .

[25]  Shekhar Y. Borkar,et al.  Design challenges of technology scaling , 1999, IEEE Micro.

[26]  Michael C. Huang,et al.  A framework for dynamic energy efficiency and temperature management , 2000, MICRO 33.

[27]  Li Shang,et al.  Thermal Modeling, Characterization and Management of On-Chip Networks , 2004, 37th International Symposium on Microarchitecture (MICRO-37'04).

[28]  Kaustav Banerjee,et al.  LEAKAGE AND VARIATION AWARE THERMAL MANAGEMENT OF NANOMETER SCALE ICS , 2004 .

[29]  Jason Cong,et al.  Thermal-driven multilevel routing for 3-D ICs , 2005, Asia and South Pacific Design Automation Conference.

[30]  Li Shang,et al.  Adaptive Chip-Package Thermal Analysis for Synthesis and Design , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[31]  Stephen H. Gunther,et al.  Managing the Impact of Increasing Microprocessor Power Consumption , 2001 .

[32]  Taewhan Kim,et al.  Bus optimization for low-power data path synthesis based on network flow method , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[33]  Taewhan Kim,et al.  An integrated data path optimization for low power based on network flow method , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[34]  Seda Ogrenci Memik,et al.  Peak temperature control and leakage reduction during binding in high level synthesis , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..

[35]  Miodrag Potkonjak,et al.  MediaBench: a tool for evaluating and synthesizing multimedia and communications systems , 1997, Proceedings of 30th Annual International Symposium on Microarchitecture.

[36]  Li Shang,et al.  TAPHS: thermal-aware unified physical-level and high-level synthesis , 2006, Asia and South Pacific Conference on Design Automation, 2006..