Fast and Accurate Time-Domain Simulations of Integer-N PLLs

We present a methodology to simulate industrial integer-<inline-formula> <tex-math notation="LaTeX">$N$ </tex-math></inline-formula> phase-locked loops (PLLs) at a verification level, as accurate as and faster than transistor-level simulation. The accuracy is measured on the PLL factors of interest, i.e., locking time, power consumption, phase noise and jitter (period and long-term). The speedup factor tends to the division ratio <inline-formula> <tex-math notation="LaTeX">$N$ </tex-math></inline-formula> for device-noise simulations. We develop a unifying technique which is able to deal with both noise-free and device-noise analyses, taking into account nonlinear and second-order effects visible at transistor-level simulation only, whereas previous works focused on one of the two analyses, separately. The procedure is based on oscillator’s sensitivity analysis and on the creation of a phase macromodel for the voltage-controlled oscillator (VCO) together with the loop divider (the phase model is called VCODIV), whilst the other PLL’s blocks remain at transistor level. The macromodel’s phase law is characterized by a piecewise linear curve, representing the sensitivity of the VCODIV output’s phase deviation with respect to the voltage variation of the VCO’s control pin, and by the effects of all the VCO’s and divider’s noise sources on the model’s output. We show two experiments on industrial PLLs, and provide guidelines for designers which highlight the steps needed to implement the methodology by using well-known analyses in circuit simulation and Verilog-A for the creation of the macromodel.

[1]  Huazhong Yang,et al.  Behavioral modeling and simulation of jitter and phase noise in fractional-N PLL frequency synthesizer , 2004, Proceedings of the 2004 IEEE International Behavioral Modeling and Simulation Conference, 2004. BMAS 2004..

[2]  Bo Wang,et al.  Integer-N PLLs Verification Methodology: Large Signal Steady State and Noise Analysis , 2012, IEEE Transactions on Circuits and Systems I: Regular Papers.

[3]  A. Sangiovanni-Vincentelli,et al.  Finding the steady-state response of analog and microwave circuits , 1988, Proceedings of the IEEE 1988 Custom Integrated Circuits Conference.

[4]  Kai Bittner,et al.  Adaptive Multi-rate Wavelet Method for Circuit Simulation , 2014 .

[5]  John McNeill,et al.  Jitter in ring oscillators , 1994, Proceedings of IEEE International Symposium on Circuits and Systems - ISCAS '94.

[6]  Manfred Dietrich,et al.  Fast mixed-mode PLL simulation using behavioral baseband models of voltage-controlled oscillators and frequency dividers , 2010, 2010 XIth International Workshop on Symbolic and Numerical Methods, Modeling and Applications to Circuit Design (SM2ACD).

[7]  A. Suarez,et al.  General envelope-transient formulation of phase-locked loops using three time scales , 2004, IEEE Transactions on Microwave Theory and Techniques.

[8]  Jacob K. White,et al.  Efficient Steady-State Analysis Based on Matrix-Free Krylov-Subspace Methods , 1995, 32nd Design Automation Conference.

[9]  Alper Demir,et al.  A reliable and efficient procedure for oscillator PPV computation, with phase noise macromodeling applications , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[10]  E. Ngoya,et al.  Rigorous RF and microwave oscillator phase noise calculation by envelope transient technique , 2000, 2000 IEEE MTT-S International Microwave Symposium Digest (Cat. No.00CH37017).

[11]  Georges Gielen,et al.  Models for systematic design and verification of frequency synthesizers , 1999 .

[12]  U. Hilleringmann,et al.  Modeling and simulation of arbitrary ordered nonlinear charge-pump phase-locked loops , 2011, 2011 Semiconductor Conference Dresden.

[13]  Kenneth S. Kundert Modeling and Simulation of Jitter in Phase-Locked Loops , 1997 .

[14]  Amit Mehrotra,et al.  Noise analysis of phase-locked loops , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[15]  Federico Bizzarri,et al.  Efficient transient noise analysis of non-periodic mixed analogue/digital circuits , 2015, IET Circuits Devices Syst..

[16]  Farid N. Najm,et al.  Circuit Simulation , 2010 .

[17]  Jean-Christophe Nallatamby,et al.  A unified approach of PM noise calculation in large RF multitone autonomous circuits , 2000, 2000 IEEE MTT-S International Microwave Symposium Digest (Cat. No.00CH37017).

[18]  A. Lance,et al.  Phase Noise and AM Noise Measurements in the Frequency Domain , 1984 .

[19]  Farid N. Najm,et al.  Circuit Simulation: Najm/Circuit Simulation , 2010 .

[20]  R. Poujois,et al.  A new efficient transient noise analysis technique for simulation of CCD image sensors or particle detectors , 1993, Proceedings of IEEE Custom Integrated Circuits Conference - CICC '93.

[21]  Salvatore Levantino,et al.  Behavioral phase-noise analysis of charge-pump phase-locked loops , 2011, 2011 20th European Conference on Circuit Theory and Design (ECCTD).

[22]  A. Demir,et al.  Phase noise in oscillators: a unifying theory and numerical methods for characterization , 2000 .

[23]  Xiaolue Lai,et al.  TP-PPV: Piecewise Nonlinear, Time-Shifted Oscillator Macromodel Extraction For Fast, Accurate PLL Simulation , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[24]  David C. Lee,et al.  Analysis of jitter in phase-locked loops , 2002 .

[25]  Salvatore Levantino,et al.  Analysis of VCO Phase Noise in Charge-Pump Phase-Locked Loops , 2012, IEEE Transactions on Circuits and Systems I: Regular Papers.

[26]  Ken Kundert,et al.  Predicting the Phase Noise and Jitter of PLL-Based Frequency Synthesizers , 2009 .

[27]  Luciano Lavagno,et al.  EDA for IC Implementation, Circuit Design, and Process Technology , 2006 .

[28]  Victor R. Gonzalez-Diaz,et al.  A Verilog-A Based Fractional Frequency Synthesizer Model for Fast and Accurate Noise Assessment , 2016 .