Performance Maximization via Frequency Oscillation on Temperature Constrained Multi-core Processors
暂无分享,去创建一个
Shaolei Ren | Gang Quan | Ming Fan | Wujie Wen | Shi Sha | Shaolei Ren | Gang Quan | Wujie Wen | Ming Fan | Shi Sha
[1] Sarma B. K. Vrudhula,et al. Performance Optimal Online DVFS and Task Migration Techniques for Thermally Constrained Multi-Core Processors , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[2] Lothar Thiele,et al. Worst-Case Temperature Guarantees for Real-Time Applications on Multi-core Systems , 2012, 2012 IEEE 18th Real Time and Embedded Technology and Applications Symposium.
[3] Krishnendu Chakrabarty,et al. An online thermal-constrained task scheduler for 3D multi-core processors , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[4] Jörg Henkel,et al. COOL: control-based optimization of load-balancing for thermal behavior , 2012, CODES+ISSS '12.
[5] Parameswaran Ramanathan,et al. Necessary and Sufficient Conditions for Thermal Schedulability of Periodic Real-Time Tasks , 2014, 2014 26th Euromicro Conference on Real-Time Systems.
[6] Tajana Simunic,et al. Static and Dynamic Temperature-Aware Scheduling for Multiprocessor SoCs , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[7] G. Poole. Generalized $M$-matrices and applications , 1975 .
[8] Stephen P. Boyd,et al. Convex Optimization , 2004, Algorithms and Theory of Computation Handbook.
[9] Xiaobo Sharon Hu,et al. Online work maximization under a peak temperature constraint , 2009, ISLPED.
[10] Guanglei Liu,et al. Neighbor-aware dynamic thermal management for multi-core platform , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[11] R. Viswanath. Thermal Performance Challenges from Silicon to Systems , 2000 .
[12] Margaret Martonosi,et al. An Analysis of Efficient Multi-Core Global Power Management Policies: Maximizing Performance for a Given Power Budget , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[13] Jung Ho Ahn,et al. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[14] Meikang Qiu,et al. Throughput maximization for periodic real-time systems under the maximal temperature constraint , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
[15] Kang G. Shin,et al. Predicting thermal behavior for temperature management in time-critical multicore systems , 2013, 2013 IEEE 19th Real-Time and Embedded Technology and Applications Symposium (RTAS).
[16] Sanjay Ranka,et al. An overview and classification of thermal-aware scheduling techniques for multi-core processing systems , 2012, Sustain. Comput. Informatics Syst..
[17] Gang Quan,et al. Heterogeneity exploration for peak temperature reduction on multi-core platforms , 2014, Fifteenth International Symposium on Quality Electronic Design.
[18] Stephen P. Boyd,et al. Temperature-aware processor frequency assignment for MPSoCs using convex optimization , 2007, 2007 5th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
[19] Sherief Reda,et al. Frequency planning for multi-core processors under thermal constraints , 2008, Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).
[20] Kevin Skadron,et al. Temperature-aware microarchitecture: Modeling and implementation , 2004, TACO.
[21] Sanjay Ranka,et al. Thermal constrained workload distribution for maximizing throughput on multi-core processors , 2010, International Conference on Green Computing.
[22] C. Zweben. Ultrahigh-thermal-conductivity packaging materials , 2005, Semiconductor Thermal Measurement and Management IEEE Twenty First Annual IEEE Symposium, 2005..
[23] Sarma B. K. Vrudhula,et al. Efficient online computation of core speeds to maximize the throughput of thermally constrained multi-core processors , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.
[24] Muhammad Shafique,et al. MatEx: Efficient transient and peak temperature computation for compact thermal models , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[25] Karam S. Chatha,et al. Approximation algorithm for the temperature-aware scheduling problem , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.
[26] GABRIEL H. LOH,et al. 3D Stacked Microprocessor: Are We There Yet? , 2010, IEEE Micro.
[27] Gang Quan,et al. Feasibility Analysis for Temperature-Constraint Hard Real-Time Periodic Tasks , 2010, IEEE Transactions on Industrial Informatics.
[28] Gang Quan,et al. Power and energy analysis on intel Single-Chip Cloud Computer system , 2012, 2012 Proceedings of IEEE Southeastcon.
[29] T. N. Vijaykumar,et al. Heat-and-run: leveraging SMT and CMP to manage power density through the operating system , 2004, ASPLOS XI.
[30] Shaolei Ren,et al. Temperature-Constrained Feasibility Analysis for Multicore Scheduling , 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[31] Junlong Zhou,et al. Thermal-Aware Task Scheduling for Energy Minimization in Heterogeneous Real-Time MPSoC Systems , 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[32] Babak Falsafi,et al. Toward Dark Silicon in Servers , 2011, IEEE Micro.
[33] Sanjay Ranka,et al. A simple thermal model for multi-core processors and its application to slack allocation , 2010, 2010 IEEE International Symposium on Parallel & Distributed Processing (IPDPS).
[34] Gang Quan,et al. On the fundamentals of leakage aware real-time DVS scheduling for peak temperature minimization , 2012, J. Syst. Archit..
[35] Kirk Pruhs,et al. Speed scaling to manage energy and temperature , 2007, JACM.
[36] Heba Khdr,et al. TSP: Thermal Safe Power - Efficient power budgeting for many-core systems in dark silicon , 2014, 2014 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).