Device and Circuit Co-Design Robustness Studies in the Subthreshold Logic for Ultralow-Power Applications for 32 nm CMOS

Digital circuits operating in a subthreshold region have gained wide interest due to their suitability for applications requiring ultralow power consumption with low-to-medium performance criteria. It has been demonstrated that by appropriately optimizing the devices for subthreshold logic, total energy consumption can be reduced significantly. One of the major concerns for subthreshold circuit design is increased sensitivity to process, voltage, and temperature (PVT) variations. In this paper, we critically study the effect of variations of different device and environmental parameters like gate oxide thickness, channel length, threshold voltage, supply voltage, temperature, and reverse body bias on subthreshold circuit performance for 32 nm bulk CMOS. From the study, we conclude that alternative devices like double-gate silicon-on-insulator (DGSOI) are better candidates in terms of performance, robustness and PVT insensitivity as compared to bulk circuits for both static CMOS and pseudo NMOS logic families. We also study the performance and robustness comparisons of bulk CMOS and DGSOI subthreshold basic logic gates with and without parameter variations and we observe 60-70% improvement in power delay product and roughly 50% better tolerance to PVT variations of DGSOI subthreshold logic circuits compared to bulk CMOS subthreshold circuits at the 32 nm node.

[1]  Xiaoxia Wu,et al.  Analysis of Subthreshold Finfet Circuits for Ultra-Low Power Design , 2006, 2006 IEEE International SOC Conference.

[2]  Yu Cao,et al.  New paradigm of predictive MOSFET and interconnect modeling for early circuit simulation , 2000, Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044).

[3]  A.P. Chandrakasan,et al.  Nanometer MOSFET Variation in Minimum Energy Subthreshold Circuits , 2008, IEEE Transactions on Electron Devices.

[4]  Yu Cao,et al.  Predictive Technology Model for Nano-CMOS Design Exploration , 2006, 2006 1st International Conference on Nano-Networks and Workshops.

[5]  K. Roy,et al.  Double gate-MOSFET subthreshold circuit for ultralow power applications , 2004, IEEE Transactions on Electron Devices.

[6]  J. Fellrath,et al.  CMOS analog integrated circuits based on weak inversion operations , 1977 .

[7]  Anantha P. Chandrakasan,et al.  Low-power CMOS digital design , 1992 .

[8]  K. Roy,et al.  Underlap DGMOS for digital-subthreshold operation , 2006, IEEE Transactions on Electron Devices.

[9]  Bo Zhai,et al.  Exploring Variability and Performance in a Sub-200-mV Processor , 2008, IEEE Journal of Solid-State Circuits.

[10]  Bo Zhai,et al.  A 2.60pJ/Inst Subthreshold Sensor Processor for Optimal Energy Efficiency , 2006, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers..

[11]  John Keane,et al.  Utilizing Reverse Short-Channel Effect for Optimal Subthreshold Circuit Design , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[12]  Yuan Taur,et al.  Fundamentals of Modern VLSI Devices , 1998 .

[13]  B.C. Paul,et al.  Device optimization for digital subthreshold logic operation , 2005, IEEE Transactions on Electron Devices.

[14]  Mingoo Seok,et al.  Nanometer Device Scaling in Subthreshold Logic and SRAM , 2008, IEEE Transactions on Electron Devices.

[15]  Yu Cao,et al.  New Generation of Predictive Technology Model for Sub-45 nm Early Design Exploration , 2006, IEEE Transactions on Electron Devices.

[16]  David Blaauw,et al.  Analysis and mitigation of variability in subthreshold design , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..

[17]  Rodrigo Jaramillo Ramirez Variability-Aware Design of Subthreshold Devices , 2007 .

[18]  Anantha Chandrakasan,et al.  Variation-Driven Device Sizing for Minimum Energy Sub-threshold Circuits , 2006, ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.

[19]  B.C. Paul,et al.  Oxide Thickness Optimization for Digital Subthreshold Operation , 2008, IEEE Transactions on Electron Devices.

[20]  A. Chandrakasan,et al.  A 180-mV subthreshold FFT processor using a minimum energy design methodology , 2005, IEEE Journal of Solid-State Circuits.

[21]  Kaushik Roy,et al.  Digital CMOS logic operation in the sub-threshold region , 2000, ACM Great Lakes Symposium on VLSI.

[22]  Kaushik Roy,et al.  Ultralow Power Computing with Sub-threshold Leakage: A Comparative Study of Bulk and SOI Technologies , 2006, Proceedings of the Design Automation & Test in Europe Conference.