Synthesizing Parsimonious Inexact Circuits through Probabilistic Design Techniques
暂无分享,去创建一个
Lingamneni Avinash | Krishna V. Palem | Christian Piguet | Christian C. Enz | C. Piguet | C. Enz | K. Palem | L. Avinash
[1] Shekhar Y. Borkar,et al. Designing reliable systems from unreliable components: the challenges of transistor variability and degradation , 2005, IEEE Micro.
[2] Krishna V. Palem,et al. Probabilistic system-on-a-chip architectures , 2007, TODE.
[3] Pinar Korkmaz,et al. Advocating Noise as an Agent for Ultra-Low Energy Computing: Probabilistic Complementary Metal-Oxide-Semiconductor Devices and Their Characteristics , 2006 .
[4] Lingamneni Avinash,et al. Sustaining moore's law in embedded computing through probabilistic and approximate design: retrospects and prospects , 2009, CASES '09.
[5] Anantha Chandrakasan,et al. Approximate Signal Processing , 1997, J. VLSI Signal Process..
[6] Krishna V. Palem,et al. An approach to energy-error tradeoffs in approximate ripple carry adders , 2011, IEEE/ACM International Symposium on Low Power Electronics and Design.
[7] Nicholas Pippenger,et al. Analysis of Carry Propagation in Addition: An Elementary Approach , 2001, J. Algorithms.
[8] Michael O. Rabin,et al. Hyper-Encryption and Everlasting Security , 2002, STACS.
[9] Kartik Mohanram,et al. Approximate logic circuits for low overhead, non-intrusive concurrent error detection , 2008, 2008 Design, Automation and Test in Europe.
[10] David Harris,et al. A taxonomy of parallel prefix networks , 2003, The Thrity-Seventh Asilomar Conference on Signals, Systems & Computers, 2003.
[11] Antonio Ortega,et al. Dynamic Voltage Scaling Algorithms for Power Constrained Motion Estimation , 2007, 2007 IEEE International Conference on Acoustics, Speech and Signal Processing - ICASSP '07.
[12] Vivek De,et al. Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).
[13] Trevor Mudge,et al. Razor: a low-power pipeline based on circuit-level timing speculation , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..
[14] Krishna V. Palem,et al. Optimizing energy to minimize errors in dataflow graphs using approximate adders , 2010, CASES '10.
[15] J. Neumann. Probabilistic Logic and the Synthesis of Reliable Organisms from Unreliable Components , 1956 .
[16] Babak Falsafi,et al. Dual use of superscalar datapath for transient-fault detection and recovery , 2001, MICRO.
[17] Naresh R. Shanbhag,et al. Soft digital signal processing , 2001, IEEE Trans. Very Large Scale Integr. Syst..
[18] Lingamneni Avinash,et al. Parsimonious Circuits for Error-Tolerant Applications through Probabilistic Logic Minimization , 2011, PATMOS.
[19] Krishna V. Palem,et al. Computational Proof as Experiment: Probabilistic Algorithms from a Thermodynamic Perspective , 2003, Verification: Theory and Practice.
[20] Ronald L. Rivest,et al. Introduction to Algorithms , 1990 .
[21] Sandeep K. Gupta,et al. Approximate logic synthesis for error tolerant applications , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
[22] Naresh R. Shanbhag,et al. Energy-efficient Motion Estimation using Error-Tolerance , 2006, ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.
[23] Krishna V. Palem,et al. Probabilistic arithmetic and energy efficient embedded signal processing , 2006, CASES '06.
[24] Henry Hoffmann,et al. Dynamic knobs for responsive power-aware computing , 2011, ASPLOS XVI.
[25] Richard M. Karp,et al. Combinatorics, complexity, and randomness , 1986, CACM.
[26] Paolo Ienne,et al. Improving XOR-Dominated Circuits by Exploiting Dependencies between Operands , 2007, 2007 Asia and South Pacific Design Automation Conference.
[27] Kaushik Roy,et al. Process Variation Tolerant Low Power DCT Architecture , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[28] Quinn Jacobson,et al. ERSA: error resilient system architecture for probabilistic applications , 2010, DATE 2010.
[29] Zhi-Hui Kong,et al. Probabilistic CMOS ( PCMOS ) Logic for Nanoscale Circuit Design , 2009 .
[30] Thomas H. Cormen,et al. Introduction to algorithms [2nd ed.] , 2001 .
[31] Anantha P. Chandrakasan,et al. Low-power digital filtering using approximate processing , 1996 .
[32] EnzChristian,et al. Synthesizing Parsimonious Inexact Circuits through Probabilistic Design Techniques , 2013 .
[33] Naresh R. Shanbhag,et al. Energy-efficient signal processing via algorithmic noise-tolerance , 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).
[34] Kaushik Roy,et al. Significance driven computation: a voltage-scalable, variation-aware, quality-tuning motion estimator , 2009, ISLPED.
[35] Lingamneni Avinash,et al. Highly energy and performance efficient embedded computing through approximately correct arithmetic: a mathematical foundation and preliminary experimental validation , 2008, CASES '08.
[36] S. Borkar,et al. A 320 mV 56 μW 411 GOPS/Watt Ultra-Low Voltage Motion Estimation Accelerator in 65 nm CMOS , 2009, IEEE Journal of Solid-State Circuits.
[37] G. De Micheli,et al. Circuit and architecture trade-offs for high-speed multiplication , 1991 .
[38] Antonio Ortega,et al. NEW QUALITY METRICS FOR MULTIMEDIA COMPRESSION USING FAULTY HARDWARE In , 2006 .
[39] A. Paz. Probabilistic algorithms , 2003 .
[40] Krishna V. Palem,et al. Energy aware algorithm design via probabilistic computing: from algorithms and models to Moore's law and novel (semiconductor) devices , 2003, CASES '03.
[41] Wayne H. Wolf,et al. Experimental analysis of sequence dependence on energy saving for error tolerant image processing , 2009, ISLPED.
[42] Krishna V. Palem,et al. Energy aware computing through probabilistic switching: a study of limits , 2005, IEEE Transactions on Computers.
[43] Krishna V. Palem,et al. A Probabilistic Boolean Logic for energy efficient circuit and system design , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).
[44] Kaushik Roy,et al. Scalable effort hardware design: Exploiting algorithmic resilience for energy efficiency , 2010, Design Automation Conference.
[45] L. Kish. End of Moore's law: thermal (noise) death of integration in micro and nano electronics , 2002 .
[46] Lingamneni Avinash,et al. Energy parsimonious circuit design through probabilistic pruning , 2011, 2011 Design, Automation & Test in Europe.
[47] Suresh Purini,et al. Design of Low Power Systems Using Inexact Logic Circuits , 2010, J. Low Power Electron..
[48] Krishna V. Palem,et al. INNOVATION FOR SUSTAINABILITY IN INFORMATION AND COMMUNICATION TECHNOLOGIES (ICT) , 2010 .
[49] Krishna V. Palem,et al. Ultra Low-energy Computing via Probabilistic Algorithms and Devices: CMOS Device Primitives and the Energy-Probability Relationship , 2004 .
[50] Massimo Alioto,et al. Impact of Supply Voltage Variations on Full Adder Delay: Analysis and Comparison , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[51] Douglas L. Jones,et al. Scalable stochastic processors , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).