Skew-Tolerant Circuit Design
暂无分享,去创建一个
[1] William J. Dally,et al. Digital systems engineering , 1998 .
[2] Mark Horowitz,et al. A zero-overhead self-timed 160-ns 54-b CMOS divider , 1991 .
[3] Stephen H. Unger,et al. Clocking Schemes for High-Speed Digital Systems , 1986, IEEE Transactions on Computers.
[4] David Cooke Noice. A clocking discipline for two-phase digital integrated circuits , 1983 .
[5] Malgorzata Marek-Sadowska,et al. Crosstalk reduction for VLSI , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[6] Louis B. Bushard,et al. Latch-to-Latch Timing Rules , 1990, IEEE Trans. Computers.
[7] Eby G. Friedman. Clock distribution networks in VLSI circuits and systems , 1995 .
[8] Ren-Song Tsay,et al. An exact zero-skew clock routing algorithm , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[9] William J. Bowhill,et al. Circuit Implementation of a 300-MHz 64-bit Second-generation CMOS Alpha CPU , 1995, Digit. Tech. J..
[10] Jan-Ming Ho,et al. Zero skew clock routing with minimum wirelength , 1992 .
[11] Daniel Marcos Chapiro,et al. Globally-asynchronous locally-synchronous systems , 1985 .
[12] David A. Patterson,et al. Computer Architecture: A Quantitative Approach , 1969 .
[13] M.A. Horowitz,et al. Skew-tolerant domino circuits , 1997, 1997 IEEE International Solids-State Circuits Conference. Digest of Technical Papers.
[14] Rajiv V. Joshi,et al. A 2-ns cycle, 3.8-ns access 512-kb CMOS ECL SRAM with a fully pipelined architecture , 1991 .
[15] Ted Eugene Williams,et al. Self-timed rings and their application to division , 1992 .
[16] C. M. Lee,et al. High-speed compact circuits with CMOS , 1982 .
[17] David A. Patterson,et al. Computer architecture (2nd ed.): a quantitative approach , 1996 .
[18] John K. Ousterhout. A Switch-Level Timing Verifier for Digital MOS VLSI , 1985, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[19] Ran Ginosar,et al. Adaptive synchronization , 1998, Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273).
[20] C. Sechen,et al. Domino logic synthesis using complex static gates , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).
[21] M. Shoji,et al. Elimination of process-dependent clock skew in CMOS VLSI , 1986 .
[22] Christer Svensson,et al. High-speed CMOS circuit technique , 1989 .
[23] Thomas A. DeMassa,et al. Digital Integrated Circuits , 1985, 1985 IEEE GaAs IC Symposium Technical Digest.
[24] William M. Penney,et al. MOS Integrated Circuits: Theory, Fabrication, Design, and Systems Applications of MOS LSI , 1972 .
[25] T.H. Lee,et al. A 600 MHz superscalar RISC microprocessor with out-of-order execution , 1997, 1997 IEEE International Solids-State Circuits Conference. Digest of Technical Papers.
[26] C. Heikes,et al. A dual floating point coprocessor with an FMAC architecture , 1996, 1996 IEEE International Solid-State Circuits Conference. Digest of TEchnical Papers, ISSCC.
[27] Christer Svensson,et al. New domino logic precharged by clock and data , 1993 .
[28] Marios C. Papaefthymiou,et al. Optimizing two-phase, level-clocked circuitry , 1997, JACM.
[29] Anoop Gupta,et al. The Stanford FLASH multiprocessor , 1994, ISCA '94.
[30] Kevin J. Nowka,et al. Circuit design techniques for a gigahertz integer microprocessor , 1998, Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273).
[31] Trevor N. Mudge,et al. Critical paths in circuits with level-sensitive latches , 1995, IEEE Trans. Very Large Scale Integr. Syst..
[32] H. Fair,et al. Clocking design and analysis for a 600 MHz Alpha microprocessor , 1998, 1998 IEEE International Solid-State Circuits Conference. Digest of Technical Papers, ISSCC. First Edition (Cat. No.98CH36156).
[33] Lynn Conway,et al. Introduction to VLSI systems , 1978 .
[34] F. Klass. Semi-dynamic and dynamic flip-flops with embedded logic , 1998, 1998 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.98CH36215).
[35] B. Razavi. Monolithic phase-locked loops and clock recovery circuits : theory and design , 1996 .
[36] Yinyu Ye,et al. Interior point algorithms: theory and analysis , 1997 .
[37] Cornelis Willem Lambrechtse,et al. A 4096-B one-transistor per bit random-access memory with internal timing and low dissipation , 1973 .
[38] Kenneth L. Shepard,et al. Design methodology for the S/390 Parallel Enterprise Server G4 microprocessors , 1997, IBM J. Res. Dev..
[39] Christer Svensson,et al. New single-clock CMOS latches and flipflops with improved speed and power savings , 1997 .
[40] J. Lotz,et al. A 64-b quad-issue CMOS RISC microprocessor , 1996 .
[41] M. Bergman,et al. "Introduction to nMOS and cMOS VLSI Systems Design" by Amar Mukherjee, from: Prentice-Hall, Englewood Cliffs, NJ 07632, U.S.A , 1986, Integr..
[42] P. Bannon,et al. A 433 MHz 64 b quad issue RISC microprocessor , 1996, 1996 IEEE International Solid-State Circuits Conference. Digest of TEchnical Papers, ISSCC.
[43] F. Weber,et al. Flow-through latch and edge-triggered flip-flop hybrid elements , 1996, 1996 IEEE International Solid-State Circuits Conference. Digest of TEchnical Papers, ISSCC.
[44] Amar Mukherjee,et al. Introduction to Nmos and Cmos Vlsi Systems Design , 1986 .
[45] C. Piguet,et al. A 600 MHz CMOS PLL microprocessor clock generator with a 1.2 GHz VCO , 1998, 1998 IEEE International Solid-State Circuits Conference. Digest of Technical Papers, ISSCC. First Edition (Cat. No.98CH36156).
[46] L. Heller,et al. Cascode voltage switch logic: A differential CMOS logic family , 1984, 1984 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[47] G. Singer,et al. The first IA-64 microprocessor: a design for highly-parallel execution , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).
[48] Kenneth C. Yeager,et al. 200-MHz superscalar RISC microprocessor , 1996, IEEE J. Solid State Circuits.
[49] I. Sutherland,et al. Logical Effort: Designing Fast CMOS Circuits , 1999 .
[50] S. DasGupta,et al. LSI chip design for testability , 1978, 1978 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[51] Lee-Sup Kim,et al. A 200 MHz 13 mm/sup 2/ 2-D DCT macrocell using sense-amplifying pipeline flip-flop scheme , 1994 .
[52] Mark Horowitz,et al. SRT division architectures and implementations , 1997, Proceedings 13th IEEE Sympsoium on Computer Arithmetic.
[53] Christer Svensson,et al. Noise in digital dynamic CMOS circuits , 1994 .
[54] Ashutosh Das,et al. A new family of semidynamic and dynamic flip-flops with embedded logic for high-performance processors , 1999 .
[55] J. Lotz,et al. A quad-issue out-of-order RISC CPU , 1996, 1996 IEEE International Solid-State Circuits Conference. Digest of TEchnical Papers, ISSCC.
[56] R. Allmon,et al. High-performance microprocessor design , 1998, IEEE J. Solid State Circuits.
[57] Richard T. Witek,et al. A 160 MHz 32 b 0.5 W CMOS RISC microprocessor , 1996, 1996 IEEE International Solid-State Circuits Conference. Digest of TEchnical Papers, ISSCC.
[58] Syed A. Rizvi. Analyzing the tolerance and controls on critical dimensions and overlays as prescribed by the National Technology Roadmap for Semiconductors , 1997, Other Conferences.
[59] Narendra V. Shenoy,et al. Verifying clock schedules , 1992, ICCAD.
[60] Mark Horowitz,et al. Energy dissipation in general purpose microprocessors , 1996, IEEE J. Solid State Circuits.
[61] Alina Deutsch,et al. Designing the best clock distribution network , 1998, 1998 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.98CH36215).
[62] Burton M. Leary,et al. A 200 MHz 64 b dual-issue CMOS microprocessor , 1992, 1992 IEEE International Solid-State Circuits Conference Digest of Technical Papers.
[63] N. F. Goncalves,et al. NORA: a racefree dynamic CMOS technique for pipelined logic structures , 1983 .
[64] David L. Pulfrey,et al. Design procedures for differential cascode voltage switch circuits , 1986 .
[65] Kunle Olukotun,et al. Analysis and design of latch-controlled synchronous digital circuits , 1990, DAC '90.
[66] Kenneth L. Shepard,et al. Harmony: static noise analysis of deep submicron digital integrated circuits , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[67] Robert B. Hitchcock,et al. Timing Verification and the Timing Analysis Program , 1982, 19th Design Automation Conference.
[68] Paul Penfield,et al. Signal Delay in RC Tree Networks , 1981, 18th Design Automation Conference.
[69] Chin-Cheng Kau,et al. A 133 MHz 64 b four-issue CMOS microprocessor , 1995, Proceedings ISSCC '95 - International Solid-State Circuits Conference.
[70] Osamu Takahashi,et al. A 1-GHz logic circuit family with sense amplifiers , 1999 .
[71] Thomas G. Szymanski,et al. Computing optimal clock schedules , 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.
[72] R. P. Colwell,et al. A 0.6 /spl mu/m BiCMOS processor with dynamic execution , 1995, Proceedings ISSCC '95 - International Solid-State Circuits Conference.
[73] Neil Weste,et al. Principles of CMOS VLSI Design , 1985 .
[74] G.E. Moore,et al. Cramming More Components Onto Integrated Circuits , 1998, Proceedings of the IEEE.
[75] Soha Hassoun,et al. A 200-MHz 64-bit Dual-Issue CMOS Microprocessor , 1992, Digit. Tech. J..
[76] Marc Tremblay,et al. A 64-b microprocessor with multimedia support , 1995 .
[77] C. Heikes. A 4.5 mm/sup 2/ multiplier array for a 200 MFLOP pipelined coprocessor , 1994, Proceedings of IEEE International Solid-State Circuits Conference - ISSCC '94.
[78] S. Nguyen,et al. Implementation of a 3rd-generation SPARC V9 64 b microprocessor , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).
[79] Vladimir Stojanovic,et al. Comparative analysis of master-slave latches and flip-flops for high-performance and low-power systems , 1999, IEEE J. Solid State Circuits.