A Network Congestion-Aware Memory Controller

Network-on-chip and memory controller become correlated with each other in case of high network congestion since the network port of memory controller can be blocked due to the (back-propagated) network congestion. We call such a problem network congestion-induced memory blocking. In order to resolve the problem, we present a novel idea of network congestion-aware memory controller. Based on the global information of network congestion, the memory controller performs (1) congestion-aware memory access scheduling and (2) congestion-aware network entry control of read data. The experimental results obtained from a 5x5 tile architecture show that the proposed memory controller presents up to 18.9% improvement in memory utilization.

[1]  Kees G. W. Goossens,et al.  Predator: A predictable SDRAM memory controller , 2007, 2007 5th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

[2]  Jung Ho Ahn,et al.  The Design Space of Data-Parallel Memory Systems , 2006, ACM/IEEE SC 2006 Conference (SC'06).

[3]  David Z. Pan,et al.  An SDRAM-Aware Router for Networks-on-Chip , 2010, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[4]  William J. Dally,et al.  A delay model and speculative architecture for pipelined routers , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.

[5]  William J. Dally,et al.  Memory access scheduling , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).

[6]  Sungjoo Yoo,et al.  A practical approach of memory access parallelization to exploit multiple off-chip DDR memories , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[7]  Jerry Bautista Tera-scale computing and interconnect challenges - 3D stacking considerations , 2008, 2008 IEEE Hot Chips 20 Symposium (HCS).

[8]  Onur Mutlu,et al.  Parallelism-Aware Batch Scheduling: Enhancing both Performance and Fairness of Shared DRAM Systems , 2008, 2008 International Symposium on Computer Architecture.

[9]  Rolf Ernst,et al.  Traffic shaping for an FPGA based SDRAM controller with complex QoS requirements , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[10]  David Wentzlaff,et al.  Processor: A 64-Core SoC with Mesh Interconnect , 2010 .

[11]  Erik Lindholm,et al.  NVIDIA Tesla: A Unified Graphics and Computing Architecture , 2008, IEEE Micro.

[12]  Stephen W. Keckler,et al.  Regional congestion awareness for load balance in networks-on-chip , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.

[13]  William J. Dally,et al.  GOAL: a load-balanced adaptive routing algorithm for torus networks , 2003, ISCA '03.

[14]  Eero Aho,et al.  A case for multi-channel memories in video recording , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[15]  Brad Calder,et al.  Discovering and Exploiting Program Phases , 2003, IEEE Micro.

[16]  Coniferous softwood GENERAL TERMS , 2003 .

[17]  Tao Li,et al.  Informed Microarchitecture Design Space Exploration Using Workload Dynamics , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).

[18]  William J. Dally,et al.  Globally Adaptive Load-Balanced Routing on Tori , 2004, IEEE Computer Architecture Letters.

[19]  Gabriel H. Loh,et al.  3D-Stacked Memory Architectures for Multi-core Processors , 2008, 2008 International Symposium on Computer Architecture.

[20]  K. Kondo,et al.  A 160Gb/s interface design configuration for multichip LSI , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).

[21]  Chita R. Das,et al.  A low latency router supporting adaptivity for on-chip interconnects , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[22]  Onur Mutlu,et al.  Stall-Time Fair Memory Access Scheduling for Chip Multiprocessors , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).

[23]  WU KarenT,et al.  Results , 1969 .