A Tale of Twin Primitives: Single-chip Solution for PUFs and TRNGs

Physically Unclonable Functions (PUFs) and True Random Number Generators (TRNGs) are two highly useful hardware primitives to build up the root-of-trust for an embedded device. PUFs are designed to offer repetitive and instance-specific randomness, whereas TRNGs are expected to be invariably random. In this paper, we present a dual-mode PUF-TRNG design that utilises two different hardware-intrinsic properties, i.e. oscillation frequency of the Transition Effect Ring Oscillator (TERO) cell and the propagation delay of a buffer within the cell to serve the purpose of both PUF and TRNG depending on the exact requirement of the application. The PUF design is also proposed to have a built-in resistance to machine learning (ML) and deep learning (DL) attacks, whereas the TRNG exhibits sufficient randomness.

[1]  Debdeep Mukhopadhyay,et al.  A Multiplexer-Based Arbiter PUF Composition with Enhanced Reliability and Security , 2018, IEEE Transactions on Computers.

[2]  Antonio J. Acosta,et al.  A simple binary random number generator: new approaches for CMOS VLSI , 1992, [1992] Proceedings of the 35th Midwest Symposium on Circuits and Systems.

[3]  G. Edward Suh,et al.  Physical Unclonable Functions for Device Authentication and Secret Key Generation , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[4]  Oto Petura,et al.  Optimization of the PLL based TRNG design using the genetic algorithm , 2017, 2017 IEEE International Symposium on Circuits and Systems (ISCAS).

[5]  Srinivas Devadas,et al.  Silicon physical random functions , 2002, CCS '02.

[6]  Gaurav Kapoor,et al.  Unified Analog PUF and TRNG Based on Current-Steering DAC and VCO , 2020, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[7]  Paul C. Kocher,et al.  The intel random number generator , 1999 .

[8]  Sylvain Guilley,et al.  An Easy-to-Design PUF Based on a Single Oscillator: The Loop PUF , 2012, 2012 15th Euromicro Conference on Digital System Design.

[9]  Sandip Kundu,et al.  Machine learning resistant strong PUF: Possible or a pipe dream? , 2016, 2016 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).

[10]  Milos Drutarovský,et al.  New universal element with integrated PUF and TRNG capability , 2013, 2013 International Conference on Reconfigurable Computing and FPGAs (ReConFig).

[11]  Srinivas Devadas,et al.  Controlled physical random functions , 2002, 18th Annual Computer Security Applications Conference, 2002. Proceedings..

[12]  Lilian Bossuet,et al.  A PUF Based on a Transient Effect Ring Oscillator and Insensitive to Locking Phenomenon , 2014, IEEE Transactions on Emerging Topics in Computing.

[13]  Daniel E. Holcomb,et al.  Bitline PUF: Building Native Challenge-Response PUF Capability into Any SRAM , 2014, IACR Cryptol. ePrint Arch..

[14]  Georg T. Becker,et al.  The Gap Between Promise and Reality: On the Insecurity of XOR Arbiter PUFs , 2015, CHES.

[15]  Ingrid Verbauwhede,et al.  ES-TRNG: A High-throughput, Low-area True Random Number Generator based on Edge Sampling , 2018, IACR Trans. Cryptogr. Hardw. Embed. Syst..

[16]  G. Edward Suh,et al.  Extracting Device Fingerprints from Flash Memory by Exploiting Physical Variations , 2011, TRUST.

[17]  Srinivas Devadas,et al.  A Lockdown Technique to Prevent Machine Learning on PUFs for Lightweight Authentication , 2016, IEEE Transactions on Multi-Scale Computing Systems.

[18]  Tim Güneysu True random number generation in block memories of reconfigurable devices , 2010, 2010 International Conference on Field-Programmable Technology.

[19]  Miodrag Potkonjak,et al.  Lightweight secure PUFs , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

[20]  Srinivas Devadas,et al.  Modeling attacks on physical unclonable functions , 2010, CCS '10.

[21]  Stefan Katzenbeisser,et al.  Reverse Fuzzy Extractors: Enabling Lightweight Mutual Authentication for PUF-Enabled RFIDs , 2012, Financial Cryptography.

[22]  D. B. Strukov,et al.  Lightweight Integrated Design of PUF and TRNG Security Primitives Based on eFlash Memory in 55-nm CMOS , 2020, IEEE Transactions on Electron Devices.

[23]  Srinivas Devadas,et al.  PUF Modeling Attacks on Simulated and Silicon Data , 2013, IEEE Transactions on Information Forensics and Security.

[24]  Debdeep Mukhopadhyay,et al.  A 0.16pJ/bit recurrent neural network based PUF for enhanced machine learning attack resistance , 2019, ASP-DAC.

[25]  Dawu Gu,et al.  A Survey on Lightweight Entity Authentication with Strong PUFs , 2015, ACM Comput. Surv..

[26]  Ahmad-Reza Sadeghi,et al.  Memristor PUFs: A new generation of memory-based Physically Unclonable Functions , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[27]  Milos Drutarovský,et al.  True Random Number Generator Embedded in Reconfigurable Hardware , 2002, CHES.

[28]  Lilian Bossuet,et al.  A survey of AIS-20/31 compliant TRNG cores suitable for FPGA devices , 2016, 2016 26th International Conference on Field Programmable Logic and Applications (FPL).

[29]  Guang Gong,et al.  Truly Random Number Generator Based on a Ring Oscillator Utilizing Last Passage Time , 2014, IEEE Transactions on Circuits and Systems II: Express Briefs.

[30]  Jeroen Delvaux,et al.  Machine-Learning Attacks on PolyPUFs, OB-PUFs, RPUFs, LHS-PUFs, and PUF–FSMs , 2019, IEEE Transactions on Information Forensics and Security.

[31]  Daniel E. Holcomb,et al.  Power-Up SRAM State as an Identifying Fingerprint and Source of True Random Numbers , 2009, IEEE Transactions on Computers.

[32]  Chik How Tan,et al.  Analysis and Enhancement of Random Number Generator in FPGA Based on Oscillator Rings , 2008, 2008 International Conference on Reconfigurable Computing and FPGAs.

[33]  David Blaauw,et al.  16.3 A 23Mb/s 23pJ/b fully synthesized true-random-number generator in 28nm and 65nm CMOS , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

[34]  Abhranil Maiti,et al.  Physical unclonable function and true random number generator: a compact and scalable implementation , 2009, GLSVLSI '09.

[35]  Chip-Hong Chang,et al.  FPGA implementation of modeling attack resistant arbiter PUF with enhanced reliability , 2017, 2017 18th International Symposium on Quality Electronic Design (ISQED).

[36]  Oto Petura,et al.  Optimization of the PLL configuration in a PLL-based TRNG design , 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[37]  Berk Sunar,et al.  A Provably Secure True Random Number Generator with Built-In Tolerance to Active Attacks , 2007, IEEE Transactions on Computers.

[38]  Subramanian S. Iyer,et al.  A Self-Authenticating Chip Architecture Using an Intrinsic Fingerprint of Embedded DRAM , 2013, IEEE Journal of Solid-State Circuits.

[39]  Abhranil Maiti,et al.  Improved Ring Oscillator PUF: An FPGA-friendly Secure Primitive , 2011, Journal of Cryptology.