Technology-driven limits on runtime power management algorithms for multiprocessor systems-on-chip
暂无分享,去创建一个
[1] Jerome Martin,et al. MAGALI: A Network-on-Chip based multi-core system-on-chip for MIMO 4G SDR , 2010, 2010 IEEE International Conference on Integrated Circuit Design and Technology.
[2] Eby G. Friedman,et al. Scaling trends of on-chip power distribution noise , 2002, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[3] Diana Marculescu,et al. Sunflower : Full-System, Embedded Microarchitecture Evaluation , 2007, HiPEAC.
[4] Margaret Martonosi,et al. Formal online methods for voltage/frequency control in multiple clock domain microprocessors , 2004, ASPLOS XI.
[5] Luca Benini,et al. A control theoretic approach to energy-efficient pipelined computation in MPSoCs , 2007, TECS.
[6] Radu Marculescu,et al. Variation-adaptive feedback control for networks-on-chip with multiple clock domains , 2008, 2008 45th ACM/IEEE Design Automation Conference.
[7] Steven M. Nowick,et al. ACM Journal on Emerging Technologies in Computing Systems , 2010, TODE.
[8] T. Mohsenin,et al. A 167-processor 65 nm computational platform with per-processor dynamic supply voltage and dynamic clock frequency scaling , 2008, 2008 IEEE Symposium on VLSI Circuits.
[9] Kai Ma,et al. Temperature-constrained power control for chip multiprocessors with online model estimation , 2009, ISCA '09.
[10] Vikram Bhatt,et al. The GreenDroid Mobile Application Processor: An Architecture for Silicon's Dark Future , 2011, IEEE Micro.
[11] David Z. Pan,et al. A Voltage-Frequency Island Aware Energy Optimization Framework for Networks-on-Chip , 2011, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
[12] Eby G. Friedman,et al. Scaling trends of on-chip power distribution noise , 2004 .
[13] Sriram R. Vangal,et al. A 2 Tb/s 6$\,\times\,$ 4 Mesh Network for a Single-Chip Cloud Computer With DVFS in 45 nm CMOS , 2011, IEEE Journal of Solid-State Circuits.
[14] D. Marculescu,et al. Speed and voltage selection for GALS systems based on voltage/frequency islands , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
[15] Meeta Sharma Gupta,et al. System level analysis of fast, per-core DVFS using on-chip switching regulators , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.
[16] Giovanni De Micheli,et al. Multicore thermal management with model predictive control , 2009, 2009 European Conference on Circuit Theory and Design.
[17] D. Marculescu,et al. System-Level Process-Driven Variability Analysis for Single and Multiple Voltage-Frequency Island Systems , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.
[18] David Z. Pan,et al. A voltage-frequency island aware energy optimization framework for networks-on-chip , 2008, ICCAD 2008.
[19] Yu Cao,et al. New generation of predictive technology model for sub-45nm design exploration , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).
[20] Lawrence T. Pileggi,et al. Active On-Die Suppression of Power Supply Noise , 2006, IEEE Custom Integrated Circuits Conference 2006.
[21] Saurabh Dighe,et al. Within-die variation-aware dynamic-voltage-frequency scaling core mapping and thread hopping for an 80-core processor , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).
[22] Fabien Clermidy,et al. An asynchronous power aware and adaptive NoC based circuit , 2008, 2008 IEEE Symposium on VLSI Circuits.
[23] Chin-Shung Hsu. Digital control systems , 1982, Proceedings of the IEEE.
[24] Alaa R. Alameldeen,et al. Trading off Cache Capacity for Reliability to Enable Low Voltage Operation , 2008, 2008 International Symposium on Computer Architecture.
[25] Radu Marculescu,et al. Custom Feedback control: Enabling truly scalable on-chip power management for MPSoCs , 2010, 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).