Design and manufacturing process co-optimization in nano-technology

Newest manufacturing technologies with feature sizes smaller than 20nm and FinFET devices have favored more restrictive design rules for manufacturability while suffering from electrical limitations of electromigration (EM) and variability. Designers can no longer reap the benefits in power, performance and area by simply relying on feature size miniature with contemporary design techniques. This work illustrates the importance of design and manufacturing technology co-optimization. Limitations in lithography has led to slower reduction in metal and VIA shape spacing than critical dimensions, which prompts for co-optimization in metallization stack, power mesh planning, standard cell designs and placement algorithms. New routing algorithms and parasitics modeling are required to achieve improved design performance under sky-rocketing metal resistance especially at lower metal levels. Ever-lowering maximum current limits due to EM has prompted new approaches in placement optimization to counteract the potential explosion in EM violations. Adoption of FinFET has allowed ultra-low Vdd designs, which requires careful consideration of Vth offerings that allow proper trade-off between variability, area and power efficiency.

[1]  Yih-Lang Li,et al.  Double patterning lithography aware gridless detailed routing with innovative conflict graph , 2010, Design Automation Conference.

[2]  David Z. Pan,et al.  BoxRouter: A New Global Router Based on Box Expansion and Progressive ILP , 2007, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[3]  Da Yang,et al.  Technology-design-manufacturing co-optimization for advanced mobile SoCs , 2014, Advanced Lithography.

[4]  Chris C. N. Chu,et al.  IPR: An Integrated Placement and Routing Algorithm , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[5]  Yao-Wen Chang,et al.  NTUplace: a ratio partitioning based placement algorithm for large-scale mixed-size designs , 2005, ISPD '05.

[6]  J.A. Roy,et al.  High-performance routing at the nanometer scale , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

[7]  Chenming Hu,et al.  Electromigration interconnect lifetime under AC and pulse DC stress , 1989 .

[8]  Chris C. N. Chu,et al.  FastPlace 2.0: an efficient analytical placer for mixed-mode designs , 2006, Asia and South Pacific Conference on Design Automation, 2006..

[9]  Lars W. Liebmann,et al.  Demonstrating production quality multiple exposure patterning aware routing for the 10NM node , 2014, Advanced Lithography.

[10]  Yao-Wen Chang,et al.  Multilayer Global Routing With Via and Wire Capacity Considerations , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[11]  David Z. Pan,et al.  Design for Manufacturing With Emerging Nanolithography , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[12]  David Z. Pan,et al.  Self-Aligned Double Patterning Aware Pin Access and Standard Cell Layout Co-Optimization , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[13]  Andrew B. Kahng,et al.  Implementation and extensibility of an analytic placer , 2005, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[14]  Joseph R. Shinnerl,et al.  mPL6: enhanced multilevel mixed-size placement , 2006, ISPD '06.

[15]  David Blaauw,et al.  Static electromigration analysis for on-chip signal interconnects , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[16]  Yongchan Ban,et al.  Analysis and optimization of process-induced electromigration on signal interconnects in 16nm FinFET SoC (system-on-chip) , 2014, Advanced Lithography.

[17]  Lars W. Liebmann,et al.  Design and manufacturability tradeoffs in unidirectional and bidirectional standard cell layouts in 14 nm node , 2012, Advanced Lithography.

[18]  Yih-Lang Li,et al.  A gridless routing system with nonslicing floorplanning-based crosstalk reduction on gridless track assignment , 2011, TODE.

[19]  David Z. Pan,et al.  Flexible self-aligned double patterning aware detailed routing with prescribed layout planning , 2012, ISPD '12.

[20]  Min Pan,et al.  FastRoute: A Step to Integrate Global Routing into Placement , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[21]  Mark Horowitz,et al.  Clustered voltage scaling technique for low-power design , 1995, ISLPED '95.

[22]  Yih-Lang Li,et al.  TRIAD: A triple patterning lithography aware detailed router , 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[23]  Frank Cano,et al.  A practical approach to static signal electromigration analysis , 1998, DAC.

[24]  Jin Hu,et al.  Completing high-quality global routes , 2010, ISPD '10.

[25]  Malgorzata Marek-Sadowska,et al.  Can pin access limit the footprint scaling? , 2012, DAC Design Automation Conference 2012.

[26]  Diederik Verkest,et al.  TEASE: A systematic analysis framework for early evaluation of FinFET-based advanced technology nodes , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

[27]  Taraneh Taghavi,et al.  New placement prediction and mitigation techniques for local routing congestion , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[28]  Ting-Chi Wang,et al.  NTHU-Route 2.0: A Robust Global Router for Modern Designs , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[29]  David Z. Pan,et al.  Double patterning technology friendly detailed routing , 2008, ICCAD 2008.

[30]  Yao-Wen Chang,et al.  NTUplace2: a hybrid placer using partitioning and analytical techniques , 2006, ISPD '06.

[31]  Patrick H. Madden,et al.  Recursive bisection placement: feng shui 5.0 implementation details , 2005, ISPD '05.

[32]  Jens Lienig,et al.  Embedded Tutorial: Electromigration-Aware Physical Design of Integrated Circuits , 2005 .

[33]  Muhammet Mustafa Ozdal,et al.  Archer: a history-driven global routing algorithm , 2007, ICCAD 2007.

[34]  James D. Warnock,et al.  Circuit design challenges at the 14nm technology node , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[35]  Xin Gao,et al.  Enhancing double-patterning detailed routing with lazy coloring and within-path conflict avoidance , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[36]  Azadeh Davoodi,et al.  GRIP: Scalable 3D global routing using Integer Programming , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[37]  Chikaaki Kodama,et al.  Detailed routing with advanced flexibility and in compliance with self-aligned double patterning constraints , 2013, Advanced Lithography.

[38]  Yao-Wen Chang,et al.  Overlay-aware detailed routing for self-aligned double patterning lithography using the cut process , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[39]  Jarrod A. Roy,et al.  Satisfying whitespace requirements in top-down placement , 2006, ISPD '06.

[40]  Andrzej J. Strojwas,et al.  Co-Optimization of Circuits, Layout and Lithography for Predictive Technology Scaling Beyond Gratings , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[41]  J. Andres Torres,et al.  Self-aligned double-patterning (SADP) friendly detailed routing , 2011, Advanced Lithography.

[42]  Michael D. Moffitt MaizeRouter: Engineering an Effective Global Router , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[43]  Yue Xu,et al.  MGR: Multi-level global router , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[44]  Andrew B. Kahng Lithography-induced limits to scaling of design quality , 2014, Advanced Lithography.

[45]  Jason Cong,et al.  MARS-a multilevel full-chip gridless routing system , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[46]  Yih-Lang Li,et al.  Multi-threaded collision-aware global routing with bounded-length maze routing , 2010, Design Automation Conference.

[47]  Chikaaki Kodama,et al.  Self-Aligned Double and Quadruple Patterning-aware grid routing with hotspots control , 2013, 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).

[48]  Yih-Lang Li,et al.  NEMO: A New Implicit-Connection-Graph-Based Gridless Router With Multilayer Planes and Pseudo Tile Propagation , 2007, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[49]  P. Spindler,et al.  Fast and Robust Quadratic Placement Combined with an Exact Linear Net Model , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[50]  Tung-Chieh Chen,et al.  A High-Quality Mixed-Size Analytical Placer Considering Preplaced Blocks and Density Constraints , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.