On Reducing Scan Shift Activity at RTL
暂无分享,去创建一个
[1] Kaushik Roy,et al. Low-power scan design using first-level supply gating , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[2] Bashir M. Al-Hashimi,et al. Minimisation of power dissipation during test application in full-scan sequential circuits using primary input freezing , 2000 .
[3] V. Kamakoti,et al. Glitch-Aware Pattern Generation and Optimization Framework for Power-Safe Scan Test , 2007, 25th IEEE VLSI Test Symposium (VTS'07).
[4] Irith Pomeranz,et al. Techniques for minimizing power dissipation in scan and combinational circuits during test application , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[5] Srivaths Ravi,et al. Power-aware test: Challenges and solutions , 2007, 2007 IEEE International Test Conference.
[6] V. Kamakoti,et al. PMScan : A power-managed scan for simultaneous reduction of dynamic and leakage power during scan test , 2007, 2007 IEEE International Test Conference.
[7] Jiun-Lang Huang,et al. An Efficient Peak Power Reduction Technique for Scan Testing , 2007, 16th Asian Test Symposium (ATS 2007).
[8] Nur A. Touba,et al. Static compaction techniques to control scan vector power dissipation , 2000, Proceedings 18th IEEE VLSI Test Symposium.
[9] Qiang Xu,et al. Low-Power Scan Testing for Test Data Compression Using a Routing-Driven Scan Architecture , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[10] Kuen-Jong Lee,et al. A token scan architecture for low power testing , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).
[11] Sandeep K. Gupta,et al. An automatic test pattern generator for minimizing switching activity during scan testing activity , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[12] Arnaud Virazel,et al. Structural-Based Power-Aware Assignment of Don't Cares for Peak Power Reduction during Scan Testing , 2006, 2006 IFIP International Conference on Very Large Scale Integration.
[13] Chien-Mo James Li,et al. Jump scan: a DFT technique for low power testing , 2005, 23rd IEEE VLSI Test Symposium (VTS'05).
[14] Jinyi Zhang,et al. Multi-phase Clock Scan Technique for Low Test Power , 2007, 2007 International Symposium on High Density packaging and Microsystem Integration.
[15] Kozo Kinoshita,et al. A new ATPG method for efficient capture power reduction during scan testing , 2006, 24th IEEE VLSI Test Symposium.
[16] Kuen-Jong Lee,et al. Reduction of power consumption in scan-based circuits during testapplication by an input control technique , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[17] Wu-Tung Cheng,et al. Reducing Scan Shift Power at RTL , 2008, 26th IEEE VLSI Test Symposium (vts 2008).
[18] C. P. Ravikumar,et al. Partial Gating Optimization for Power Reduction During Test Application , 2005, 14th Asian Test Symposium (ATS'05).
[19] Kozo Kinoshita,et al. On low-capture-power test generation for scan testing , 2005, 23rd IEEE VLSI Test Symposium (VTS'05).
[20] Paolo Prinetto,et al. A test pattern generation methodology for low power consumption , 1998, Proceedings. 16th IEEE VLSI Test Symposium (Cat. No.98TB100231).
[21] Takaki Yoshida,et al. MD-SCAN method for low power scan testing , 2002, Proceedings of the 11th Asian Test Symposium, 2002. (ATS '02)..
[22] S. Pravossoudovitch,et al. A gated clock scheme for low power scan testing of logic ICs or embedded cores , 2001, Proceedings 10th Asian Test Symposium.
[23] Irith Pomeranz,et al. Preferred Fill: A Scalable Method to Reduce Capture Power for Scan Based Designs , 2006, 2006 IEEE International Test Conference.
[24] Lee Whetsel,et al. Adapting scan architectures for low power operation , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).
[25] Jiun-Lang Huang,et al. PHS-Fill: A Low Power Supply Noise Test Pattern Generation Technique for At-Speed Scan Testing in Huffman Coding Test Compression Environment , 2008, 2008 17th Asian Test Symposium.
[26] Jishun Kuang,et al. DCScan: A Power-Aware Scan Testing Architecture , 2008, 2008 17th Asian Test Symposium.
[27] Bashir M. Al-Hashimi,et al. Scan architecture with mutually exclusive scan segment activation for shift- and capture-power reduction , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[28] Irith Pomeranz,et al. On reducing peak current and power during test , 2005, IEEE Computer Society Annual Symposium on VLSI: New Frontiers in VLSI Design (ISVLSI'05).
[29] Hans-Joachim Wunderlich,et al. Minimized Power Consumption for Scan-Based BIST , 2000, J. Electron. Test..
[30] Xijiang Lin,et al. Scan Shift Power Reduction by Freezing Power Sensitive Scan Cells , 2008, J. Electron. Test..
[31] Sani R. Nassif,et al. Testing and debugging delay faults in dynamic circuits , 2005, IEEE International Conference on Test, 2005..
[32] Rohit Kapur,et al. Bounded Adjacent Fill for Low Capture Power Scan Testing , 2008, 26th IEEE VLSI Test Symposium (vts 2008).
[33] Nur A. Touba,et al. Inserting test points to control peak power during scan testing , 2002, 17th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2002. DFT 2002. Proceedings..
[34] Kenneth M. Butler,et al. A case study of ir-drop in structured at-speed testing , 2003, International Test Conference, 2003. Proceedings. ITC 2003..
[35] Kohei Miyase,et al. Test vector modification for power reduction during scan testing , 2002, Proceedings 20th IEEE VLSI Test Symposium (VTS 2002).
[36] Ozgur Sinanoglu,et al. Dynamic Scan Chain Partitioning for Reducing Peak Shift Power During Test , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[37] A. Arulmurugan,et al. Survey of low power testing of VLSI circuits , 2012, 2012 International Conference on Computer Communication and Informatics.
[38] Ozgur Sinanoglu,et al. Test power reduction through minimization of scan chain transitions , 2002, Proceedings 20th IEEE VLSI Test Symposium (VTS 2002).
[39] Vinay Jayaram,et al. Transition Delay Fault Test Pattern Generation Considering Supply Voltage Noise in a SOC Design , 2007, 2007 44th ACM/IEEE Design Automation Conference.