A Traffic-Aware Medium Access Control Mechanism for Energy-Efficient Wireless Network-on-Chip Architectures

Wireless interconnection has emerged as an energy efficient solution to the challenges of multi-hop communication over the wireline paths in conventional Networks-on-Chips (NoCs). However, to ensure the full benefits of this novel interconnect technology, design of simple, fair and efficient Medium Access Control (MAC) mechanism to grant access to the on-chip wireless communication channel is needed. Moreover, to adapt to the varying traffic demands from the applications running on a multicore environment, MAC mechanisms should dynamically adjust the transmission slots of the wireless interfaces (WIs). Such dynamic adjustment in transmission slots will result in improving the utilization of the wireless medium in a Wireless NoC (WiNoC). In this paper we present the design of two dynamic MAC mechanisms that adjust the transmission slots of the WIs based on predicted traffic demands and allow partial packet transfer. Through system level simulations, we demonstrate that the traffic aware MAC mechanisms are more energy efficient as well as capable of sustaining higher data bandwidth in WiNoCs.

[1]  Radu Marculescu,et al.  On-chip traffic modeling and synthesis for MPEG-2 video applications , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[2]  Eduard Alarcón,et al.  Networking Challenges and Prospective Impact of Broadcast-Oriented Wireless Networks-on-Chip , 2015, NOCS.

[3]  Partha Pratim Pande,et al.  Design of an Energy-Efficient CMOS-Compatible NoC Architecture with Millimeter-Wave Wireless Interconnects , 2013, IEEE Transactions on Computers.

[4]  Amlan Ganguly,et al.  A Wireless Interconnection Framework for Seamless Inter and Intra-Chip Communication in Multichip Systems , 2017, IEEE Transactions on Computers.

[5]  Niraj K. Jha,et al.  Express virtual channels: towards the ideal interconnection fabric , 2007, ISCA '07.

[6]  Luca P. Carloni,et al.  Photonic Networks-on-Chip for Future Generations of Chip Multiprocessors , 2008, IEEE Transactions on Computers.

[7]  Jürgen Becker,et al.  Performance, accuracy, power consumption and resource utilization analysis for hardware / software realized Artificial Neural Networks , 2010, 2010 IEEE Fifth International Conference on Bio-Inspired Computing: Theories and Applications (BIC-TA).

[8]  Mario Badr,et al.  SynFull: Synthetic traffic models capturing cache coherent behaviour , 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

[9]  R. Parvathy,et al.  Gradient descent based linear regression approach for modeling PID parameters , 2014, 2014 International Conference on Power Signals Control and Computations (EPSCICON).

[10]  Jason Cong,et al.  CMP network-on-chip overlaid with multi-band RF-interconnect , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.

[11]  T. Başar,et al.  A New Approach to Linear Filtering and Prediction Problems , 2001 .

[12]  Radu Marculescu,et al.  "It's a small world after all": NoC performance optimization via long-range link insertion , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[13]  Partha Pratim Pande,et al.  Performance evaluation and design trade-offs for network-on-chip interconnect architectures , 2005, IEEE Transactions on Computers.

[14]  Saurabh Dighe,et al.  An 80-Tile 1.28TFLOPS Network-on-Chip in 65nm CMOS , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[15]  Shahriar Mirabbasi,et al.  Architecture and Design of Multichannel Millimeter-Wave Wireless NoC , 2014, IEEE Design & Test.

[16]  Li-Shiuan Peh,et al.  A Statistical Traffic Model for On-Chip Interconnection Networks , 2006, 14th IEEE International Symposium on Modeling, Analysis, and Simulation.

[17]  Sriram R. Vangal,et al.  A 2 Tb/s 6 × 4 Mesh Network for a Single-Chip Cloud Computer With DVFS in 45 nm CMOS , 2011, VLSIC 2011.

[18]  Shahriar Mirabbasi,et al.  An 18.7-Gb/s 60-GHz OOK Demodulator in 65-nm CMOS for Wireless Network-on-Chip , 2015, IEEE Transactions on Circuits and Systems I: Regular Papers.

[19]  Li-Shiuan Peh,et al.  Towards the ideal on-chip fabric for 1-to-many and many-to-1 communication , 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[20]  Yu Su,et al.  Communication Using Antennas Fabricated in Silicon Integrated Circuits , 2007, IEEE Journal of Solid-State Circuits.

[21]  Vincenzo Catania,et al.  An Efficient Radio Access Control Mechanism for Wireless Network-On-Chip Architectures , 2015 .

[22]  Andres Kwasinski,et al.  CDMA Enabled Wireless Network-on-Chip , 2014, JETC.

[23]  Jongman Kim,et al.  Do we need wide flits in Networks-on-Chip? , 2013, 2013 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

[24]  David W. Matolak,et al.  A-WiNoC: Adaptive Wireless Network-on-Chip Architecture for Chip Multiprocessors , 2015, IEEE Transactions on Parallel and Distributed Systems.

[25]  Chifeng Wang,et al.  A Wireless Network-on-Chip Design for Multicore Platforms , 2011, 2011 19th International Euromicro Conference on Parallel, Distributed and Network-Based Processing.

[26]  Sudhakar Yalamanchili,et al.  Interconnection Networks: An Engineering Approach , 2002 .

[27]  Shahriar Mirabbasi,et al.  A 1.2-pJ/bit 16-Gb/s 60-GHz OOK Transmitter in 65-nm CMOS for Wireless Network-On-Chip , 2014, IEEE Transactions on Microwave Theory and Techniques.

[28]  Luca Benini,et al.  Networks on Chips : A New SoC Paradigm , 2022 .

[29]  Yi Wang,et al.  SD-MAC: Design and Synthesis of a Hardware-Efficient Collision-Free QoS-Aware MAC Protocol for Wireless Network-on-Chip , 2008, IEEE Transactions on Computers.

[30]  Amlan Ganguly,et al.  Design Methodology for a Robust and Energy-Efficient Millimeter-Wave Wireless Network-on-Chip , 2015, IEEE Transactions on Multi-Scale Computing Systems.

[31]  Chita R. Das,et al.  A case for heterogeneous on-chip interconnects for CMPs , 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).

[32]  Amlan Ganguly,et al.  Reconfigurable Wireless Network-on-Chip with a Dynamic Medium Access Mechanism , 2015, NOCS.

[33]  Sujay Deb,et al.  An energy efficient wireless Network-on-Chip using power-gated transceivers , 2014, 2014 27th IEEE International System-on-Chip Conference (SOCC).

[34]  Christof Teuscher,et al.  Scalable Hybrid Wireless Network-on-Chip Architectures for Multicore Systems , 2011, IEEE Transactions on Computers.

[35]  Partha Pratim Pande,et al.  Performance evaluation and design trade-offs for wireless network-on-chip architectures , 2012, JETC.

[36]  David W. Matolak,et al.  iWISE: Inter-router Wireless Scalable Express Channels for Network-on-Chips (NoCs) Architecture , 2011, 2011 IEEE 19th Annual Symposium on High Performance Interconnects.

[37]  Kiam Heong Ang,et al.  PID control system analysis and design , 2006, IEEE Control Systems.

[38]  Partha Pratim Pande,et al.  Enhancing performance of wireless NoCs with distributed MAC protocols , 2015, Sixteenth International Symposium on Quality Electronic Design.

[39]  Giuseppe Piro,et al.  Initial MAC Exploration for Graphene-enabled Wireless Networks-on-Chip , 2014, NANOCOM' 14.

[40]  Narayanan Vijaykrishnan,et al.  A Hardware Efficient Support Vector Machine Architecture for FPGA , 2008, 2008 16th International Symposium on Field-Programmable Custom Computing Machines.