Efficient Scratchpad Memory Management Based on Multi-thread for MPSoC Architecture

Scratchpad memory (SPM) is software-controlled on-chip memory with shorter access time and lower power consumption compared with cache. SPM is used increasingly widespread to meet the strict requirements on performance, power consumption and design cost of the embedded systems. This paper presents an efficient SPM management based on multi-thread for multiprocessor system on chip (MPSoC) architecture, which is the popular in embedded processors. The proposed mechanism is composed of: (1) processor core groups; (2) SPM primitives; (3) SPM based multi-thread scheduling. The experimental results show that the proposed mechanism can improve the performance of the system with lower power consumption.

[1]  Mahmut T. Kandemir,et al.  Leakage-aware SPM management , 2006, IEEE Computer Society Annual Symposium on Emerging VLSI Technologies and Architectures (ISVLSI'06).

[2]  M. Kandemir,et al.  Customized on-chip memories for embedded chip multiprocessors , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[3]  Miodrag Potkonjak,et al.  MediaBench: a tool for evaluating and synthesizing multimedia and communications systems , 1997, Proceedings of 30th Annual International Symposium on Microarchitecture.

[4]  Mahmut T. Kandemir,et al.  Exploiting shared scratch pad memory space in embedded multiprocessor systems , 2002, DAC '02.

[5]  Peter Marwedel,et al.  Scratchpad memory: a design alternative for cache on-chip memory in embedded systems , 2002, Proceedings of the Tenth International Symposium on Hardware/Software Codesign. CODES 2002 (IEEE Cat. No.02TH8627).

[6]  Soonhoi Ha,et al.  A novel technique to use scratch-pad memory for stack management , 2007 .

[7]  Trevor Mudge,et al.  MiBench: A free, commercially representative embedded benchmark suite , 2001 .

[8]  Mahmut T. Kandemir,et al.  Customized on-chip memories for embedded chip multiprocessors , 2005, ASP-DAC.

[9]  Rajeev Barua,et al.  Dynamic allocation for scratch-pad memory using compile-time decisions , 2006, TECS.

[10]  Tulika Mitra,et al.  Integrated scratchpad memory optimization and task scheduling for MPSoC architectures , 2006, CASES '06.

[11]  Enrico Macii,et al.  Architectural Leakage-Aware Management of Partitioned Scratchpad Memories , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.