Lithography and Other Patterning Techniques for Future Electronics

For all technologies, from flint arrowheads to DNA microarrays, patterning the functional material is crucial. For semiconductor integrated circuits (ICs), it is even more critical than for most technologies because enormous benefits accrue to going smaller, notably higher speed and much less energy consumed per computing function. The consensus is that ICs will continue to be manufactured until at least the ldquo22 nm noderdquo (the linewidth of an equal line-space pattern). Most patterning of ICs takes place on the wafer in two steps: (a) lithography, the patterning of a resist film on top of the functional material; and (b) transferring the resist pattern into the functional material, usually by etching. Here we concentrate on lithography. Optics has continued to be the chosen lithographic route despite its continually forecast demise. A combination of 193-nm radiation, immersion optics, and computer-intensive resolution enhancement technology will probably be used for the 45- and 32-nm nodes. Optical lithography usually requires that we first make a mask and then project the mask pattern onto a resist-coated wafer. Making a qualified mask, although originally dismissed as a ldquosupport technology,rdquo now represents a significant fraction of the total cost of patterning an IC largely because of the measures needed to push resolution so far beyond the normal limit of optical resolution. Thus, although optics has demonstrated features well below 22 nm, it is not clear that optics will be the most economical in this range; nanometer-scale mechanical printing is a strong contender, extreme ultraviolet is still the official front runner, and electron beam lithography, which has demonstrated minimum features less than 10 nm wide, continues to be developed both for mask making and for directly writing on the wafer (also known as ldquomaskless lithographyrdquo). Going from laboratory demonstration to manufacturing technology is enormously expensive ( $1 billion) and for good reason. Just in terms of data rate (mask pattern to resist pattern), today's exposure tools achieve about 10 Tb/s at an allowable error rate of about 1/h; this data rate will double with each generation. In addition, the edge placement precision required will soon be 30 parts per billion. There are so many opportunities for unacceptable performance that making the right decision goes far beyond understanding the underlying physical principles. But the benefits of continuing to be able to manufacture electronics at the 22-nm node and beyond appear to justify the investment, and there is no shortage of ideas on how to accomplish this.

[1]  R. Fabian Pease,et al.  Scaled measurements of global space-charge induced image blur in electron beam projection system , 2000 .

[2]  Wei Zhang,et al.  Air cushion press for excellent uniformity, high yield, and fast nanoimprint across a 100 mm field. , 2006, Nano letters.

[3]  Jan Mulkens,et al.  The next phase for immersion lithography , 2006, SPIE Advanced Lithography.

[4]  Jian Wang,et al.  Large area direct nanoimprinting of SiO2–TiO2 gel gratings for optical applications , 2003 .

[5]  J. Warlaumont X‐ray lithography: On the path to manufacturing , 1989 .

[6]  Winfried Kaiser,et al.  EUCLIDES: European EUVL Program , 1999 .

[7]  Wei Zhang,et al.  6 nm half-pitch lines and 0.04 µm2 static random access memory patterns by nanoimprint lithography , 2005 .

[8]  Yasuhiro Ohmura,et al.  Current status and future prospect of immersion lithography , 2006, SPIE Advanced Lithography.

[9]  A. Wong Optical Imaging in Projection Microlithography , 2005 .

[10]  S. Chou,et al.  Ultrafast and direct imprint of nanostructures in silicon , 2002, Nature.

[11]  Wei Zhang,et al.  Sub-10 nm imprint lithography and applications , 1997, 1997 55th Annual Device Research Conference Digest.

[12]  Masaki Yamabe Present status of x-ray lithography , 1998, Photomask and Next Generation Lithography Mask Technology.

[13]  Harry J. Levinson,et al.  Principles of Lithography , 2001 .

[14]  Pieter Kruit,et al.  Predicted effect of shot noise on contact hole dimension in e-beam lithography , 2006 .

[15]  G. G. Shahidi SOI technology for the GHz era , 2002, IBM J. Res. Dev..

[16]  J. Vine,et al.  An electron imaging system for the fabrication of integrated circuits , 1969 .

[17]  Zhaoning Yu,et al.  Fabrication of large area subwavelength antireflection structures on Si using trilayer resist nanoimprint lithography and liftoff , 2003 .

[18]  R.H. Dennard,et al.  Design Of Ion-implanted MOSFET's with Very Small Physical Dimensions , 1974, Proceedings of the IEEE.

[19]  D. R. Herriott,et al.  Double‐aperture method of producing variably shaped writing spots for electron lithography , 1978 .

[20]  Qiangfei Xia,et al.  In situ real time monitoring of nanosecond imprint process , 2006 .

[21]  Jan Haisma,et al.  Mold‐assisted nanolithography: A process for reliable pattern replication , 1996 .

[22]  Masanori Idesawa,et al.  Design of a variable‐aperture projection and scanning system for electron beam , 1978 .

[23]  Martha I. Sanchez,et al.  Chemical and physical aspects of the post-exposure baking process used for positive-tone chemically amplified resists , 2001, IBM J. Res. Dev..

[24]  Glenn H. Fredrickson,et al.  Dynamics of Block Copolymers: Theory and Experiment , 1996 .

[25]  C. Willson,et al.  Step and flash imprint lithography: Template surface treatment and defect analysis , 2000 .

[26]  R. Fabian Pease,et al.  Distributed axis electron beam technology for maskless lithography and defect inspection , 2003 .

[27]  George M. Whitesides,et al.  Features of gold having micrometer to centimeter dimensions can be formed through a combination of stamping with an elastomeric stamp and an alkanethiol ‘‘ink’’ followed by chemical etching , 1993 .

[28]  Jacques Trotel Dynamic beam shaping , 1978 .

[29]  G. Whitesides,et al.  Molecular self-assembly and nanochemistry: a chemical strategy for the synthesis of nanostructures. , 1991, Science.

[30]  G. Whitesides,et al.  New approaches to nanofabrication: molding, printing, and other techniques. , 2005, Chemical reviews.

[31]  Roger Fabian W. Pease,et al.  Space-charge-induced aberrations , 1999 .

[32]  E. Kratschmer,et al.  Electron‐beam microcolumns for lithography and related applications , 1996 .

[33]  G. G. Shahidi,et al.  SOI technology for the GHz era , 2001, 2001 International Symposium on VLSI Technology, Systems, and Applications. Proceedings of Technical Papers (Cat. No.01TH8517).

[34]  Lloyd R. Harriott,et al.  Focused-ion-beam repair of phase-shift photomasks , 1992, Advanced Lithography.

[35]  Rajesh Menon,et al.  Absorbance-modulation optical lithography. , 2006, Journal of the Optical Society of America. A, Optics, image science, and vision.

[36]  Roger Fabian W. Pease,et al.  Exploiting structure in fast aerial image computation for integrated circuit patterns , 1997 .

[37]  J. Rogers,et al.  Additive, nanoscale patterning of metal films with a stamp and a surface chemistry mediated transfer process: Applications in plastic electronics , 2002 .

[38]  P. Kruit,et al.  High throughput electron lithography with the multiple aperture pixel by pixel enhancement of resolution concept , 1998 .

[39]  C. Grant Willson,et al.  Implementation of an imprint damascene process for interconnect fabrication , 2006 .

[40]  Zhaoning Yu,et al.  Fabrication of large area 100 nm pitch grating by spatial frequency doubling and nanoimprint lithography for subwavelength optical applications , 2001 .

[41]  C. T. Black,et al.  Nanometer-scale pattern registration and alignment by directed diblock copolymer self-assembly , 2004, IEEE Transactions on Nanotechnology.

[42]  C. G. Willson,et al.  Introduction to microlithography : theory, materials, and processing , 1983 .

[43]  Wei Zhang,et al.  Pattern transfer fidelity of nanoimprint lithography on six-inch wafers , 2002 .

[44]  Yusuke Matsunaga,et al.  Technology mapping technique for throughput enhancement of character projection equipment , 2006, SPIE Advanced Lithography.

[45]  Feng Zhang,et al.  Pattern Registration Between Spherical Block‐Copolymer Domains and Topographical Templates , 2006 .

[46]  S. Chou,et al.  Roller nanoimprint lithography , 1998 .

[47]  Michael D. Stewart,et al.  Nanofabrication with step and flash imprint lithography , 2005 .

[48]  C. Ross,et al.  Templated Self‐Assembly of Block Copolymers: Effect of Substrate Topography , 2003 .

[49]  J. W. Stafford,et al.  EBES: A practical electron lithographic system , 1975, IEEE Transactions on Electron Devices.

[50]  D D Leavitt,et al.  Dynamic beam shaping. , 1990, Medical dosimetry : official journal of the American Association of Medical Dosimetrists.

[51]  Stephen Y. Chou,et al.  Imprint of sub-25 nm vias and trenches in polymers , 1995 .

[52]  Rainer Kaesmaier,et al.  Ion projection lithography: International development program , 1999 .

[53]  T. R. Groves,et al.  Distributed, multiple variable shaped electron beam column for high throughput maskless lithography , 1998 .

[54]  Wei Zhang,et al.  Fabrication of 60-nm transistors on 4-in. wafer using nanoimprint at all lithography levels , 2003 .

[55]  Bo Cui,et al.  Large area high density quantized magnetic disks fabricated using nanoimprint lithography , 1998 .

[56]  H. C. Pfeiffer Variable spot shaping for electron‐beam lithography , 1978 .

[57]  Peter D. Rhyins,et al.  Gratings of regular arrays and trim exposures for ultralarge scale integrated circuit phase-shift lithography , 2001 .

[58]  Hans Meiling,et al.  First performance results of the ASML alpha demo tool , 2006, SPIE Advanced Lithography.

[59]  S. Sreenivasan,et al.  Patterned wafer defect density analysis of step and flash imprint lithography , 2008 .

[61]  C. T. Black Self-aligned self assembly of multi-nanowire silicon field effect transistors , 2005 .

[62]  Christopher Harrison,et al.  Block copolymer lithography: Periodic arrays of ~1011 holes in 1 square centimeter , 1997 .

[63]  Hans Loschner,et al.  Ion projection lithography , 1998, Photomask and Next Generation Lithography Mask Technology.

[64]  John N. Randall,et al.  The contrast of ion beam stencil masks , 1986 .

[65]  Roger Fabian W. Pease,et al.  Dot matrix electron beam lithography , 1983 .

[66]  C. G. Willson,et al.  Introduction to microlithography , 1994 .

[67]  Stephen Y. Chou,et al.  Direct three-dimensional patterning using nanoimprint lithography , 2001 .

[68]  M. Levenson,et al.  Improving resolution in photolithography with a phase-shifting mask , 1982, IEEE Transactions on Electron Devices.

[69]  E. W. Edwards,et al.  Directed Assembly of Block Copolymer Blends into Nonregular Device-Oriented Structures , 2005, Science.

[70]  S. Chou,et al.  Imprint Lithography with 25-Nanometer Resolution , 1996, Science.