LP-HLS: Automatic power-intent generation for high-level synthesis based hardware implementation flow

Abstract The abstraction level for digital designs is rising from Register Transfer Level (RTL) to algorithmic untimed or transaction-based, followed by an automated high-level synthesis (HLS) flow. However, it is still a significant challenge for chip architects and designers to describe low-power design decisions at the system-level. Nowadays, low power design techniques for digital blocks are applied at RTL and there exists no commercial tool or methodology that can automatically derive the power intent from the system-level description. The process requires considerable amount of human intervention and various low-level details that are needed to implement low power schemes at RTL. This research aims to integrate low power techniques, specifically Power Shut-Off (PSO), within a model-based hardware flow and to derive an automated Low Power-High Level Synthesis (LP-HLS) methodology. The methodology aims at minimizing the design effort for low power design by deriving low-level power intent automatically for model-based designs, while using high-level synthesis to achieve a broad set of target system implementations. LP-HLS uses set of pragmas and a directive file to derive power intent information. To illustrate the methodology, three model designs, ranging from simple designs to medium complexity hardware accelerators, are considered. Finally, the power saving results for the design scenarios validate the effectiveness of our LP-HLS methodology.

[1]  Qi Wang,et al.  Power Reduction Techniques and Flows at RTL and System Level , 2009, 2009 22nd International Conference on VLSI Design.

[2]  Luciano Lavagno,et al.  Low power methodology for an ASIC design flow based on high-level synthesis , 2015, 2015 23rd International Conference on Software, Telecommunications and Computer Networks (SoftCOM).

[3]  Goran Panic,et al.  Activity Profiling and Power Estimation for Embedded Wireless Sensor Node Design , 2015, 2015 IEEE 18th International Symposium on Design and Diagnostics of Electronic Circuits & Systems.

[4]  Luka Daoud,et al.  A Survey of High Level Synthesis Languages, Tools, and Compilers for Reconfigurable High Performance Computing , 2013, ICSS.

[5]  Gabriela Nicolescu,et al.  Component-based design approach for multicore SoCs , 2002, DAC '02.

[6]  Gaurav Verma,et al.  Low Power Techniques for Digital System Design , 2015 .

[7]  Daniel Gajski,et al.  Introduction to high-level synthesis , 1994, IEEE Design & Test of Computers.

[8]  Satoshi Nakano,et al.  Verification work reduction methodology in low-power chip implementation , 2013, TODE.

[9]  E. Macii,et al.  High-level Power Modeling, Estimation, And Optimization , 1997, Proceedings of the 34th Design Automation Conference.

[10]  Thambipillai Srikanthan,et al.  Dataflow Graph Partitioning for Area-Efficient High-Level Synthesis with Systems Perspective , 2014, TODE.

[11]  S. Borkar,et al.  Dynamic-sleep transistor and body bias for active leakage power control of microprocessors , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..

[12]  Zhiru Zhang,et al.  High-level Synthesis for Low-power Design , 2015, IPSJ Trans. Syst. LSI Des. Methodol..

[13]  Daniel Gajski,et al.  An Introduction to High-Level Synthesis , 2009, IEEE Design & Test of Computers.

[14]  Rakesh Chadha,et al.  Architectural Techniques for Low Power , 2013 .

[15]  Yasaman Samei,et al.  Automated estimation of power consumption for rapid system level design , 2014, 2014 IEEE 33rd International Performance Computing and Communications Conference (IPCCC).

[16]  Srivaths Ravi,et al.  High-Level Test Synthesis: A Survey from Synthesis Process Flow Perspective , 2014, TODE.

[17]  Steven J. E. Wilton,et al.  Hierarchical Dynamic Power-Gating in FPGAs , 2015, ARC.

[18]  Mark Horowitz,et al.  1.1 Computing's energy problem (and what we can do about it) , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

[19]  Jason Cong From design to design automation , 2014, ISPD '14.

[20]  Enrico Macii,et al.  Designing low-power circuits: practical recipes , 2001 .

[21]  Jung Ho Ahn,et al.  McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[22]  Luca P. Carloni,et al.  On learning-based methods for design-space exploration with High-Level Synthesis , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

[23]  Jorn W. Janneck,et al.  Coarse grain clock gating of streaming applications in programmable logic implementations , 2014, Proceedings of the 2014 Electronic System Level Synthesis Conference (ESLsyn).

[24]  Luciano Lavagno,et al.  Analysis and Implementation of the Semi-Global Matching 3D Vision Algorithm Using Code Transformations and High-Level Synthesis , 2015, 2015 IEEE 81st Vehicular Technology Conference (VTC Spring).

[25]  Luca Benini,et al.  System-level power optimization: techniques and tools , 1999, ISLPED '99.

[26]  Matti Siekkinen,et al.  Energy Efficient Multimedia Streaming to Mobile Devices — A Survey , 2014, IEEE Communications Surveys & Tutorials.

[27]  Luca P. Carloni,et al.  Compositional system-level design exploration with planning of high-level synthesis , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[28]  Luca Benini,et al.  Message Passing-Aware Power Management on Many-Core Systems , 2014, J. Low Power Electron..

[29]  Chi-Bang Kuan,et al.  The Design and Experiments of A SID-Based Power-Aware Simulator for Embedded Multicore Systems , 2015, TODE.

[30]  Steven J. E. Wilton,et al.  High-level synthesis-based design methodology for Dynamic Power-Gated FPGAs , 2014, 2014 24th International Conference on Field Programmable Logic and Applications (FPL).

[31]  Dominik Macko,et al.  Managing digital-system power at the system level , 2013, 2013 Africon.

[32]  Margaret Martonosi,et al.  Wattch: a framework for architectural-level power analysis and optimizations , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).

[33]  Milan Sonka,et al.  Image Processing, Analysis and Machine Vision , 1993, Springer US.

[34]  Tung Thanh Hoang,et al.  Power gating multiplier of embedded processor datapath , 2011, 2011 7th Conference on Ph.D. Research in Microelectronics and Electronics.