Write reconstruction for write throughput improvement on MLC PCM based main memory
暂无分享,去创建一个
[1] Wei-Che Tseng,et al. Write activity reduction on flash main memory via smart victim cache , 2010, GLSVLSI '10.
[2] Vijayalakshmi Srinivasan,et al. Efficient scrub mechanisms for error-prone emerging memories , 2012, IEEE International Symposium on High-Performance Comp Architecture.
[3] Edwin Hsing-Mean Sha,et al. Curling-PCM: Application-specific wear leveling for phase change memory based embedded systems , 2013, 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).
[4] Jun Yang,et al. Improving write operations in MLC phase change memory , 2012, IEEE International Symposium on High-Performance Comp Architecture.
[5] Trevor Mudge,et al. MiBench: A free, commercially representative embedded benchmark suite , 2001 .
[6] Jin Xiong,et al. DWC: dynamic write consolidation for phase change memory systems , 2014, ICS '14.
[7] Lothar Thiele,et al. Worst case delay analysis for memory interference in multicore systems , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
[8] Mattan Erez,et al. Balancing reliability, cost, and performance tradeoffs with FreeFault , 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).
[9] Yifeng Zhu,et al. Accelerating write by exploiting PCM asymmetries , 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).
[10] Wei-Kuan Shih,et al. Optimizing space utilization of file systems on PCM-based storage devices , 2014, 2014 IEEE Non-Volatile Memory Systems and Applications Symposium (NVMSA).
[11] Edwin Hsing-Mean Sha,et al. Building high-performance smartphones via non-volatile memory: The swap approach , 2014, 2014 International Conference on Embedded Software (EMSOFT).
[12] Wei-Che Tseng,et al. Software enabled wear-leveling for hybrid PCM main memory on embedded systems , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[13] Meikang Qiu,et al. Wear-leveling for PCM main memory on embedded system via page management and process scheduling , 2014, 2014 IEEE 20th International Conference on Embedded and Real-Time Computing Systems and Applications.
[14] Y.C. Chen,et al. Write Strategies for 2 and 4-bit Multi-Level Phase-Change Memory , 2007, 2007 IEEE International Electron Devices Meeting.
[15] Todd M. Austin,et al. The SimpleScalar tool set, version 2.0 , 1997, CARN.
[16] Jun Yang,et al. FPB: Fine-grained Power Budgeting to Improve Write Throughput of Multi-level Cell Phase Change Memory , 2012, 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture.
[17] Mohammad Arjomand,et al. Reducing access latency of MLC PCMs through line striping , 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).
[18] Wei-Che Tseng,et al. Write activity reduction on non-volatile main memories for embedded chip multiprocessors , 2013, TECS.
[19] Zili Shao,et al. A block-level flash memory management scheme for reducing write activities in PCM-based embedded systems , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[20] Yiran Chen,et al. Emerging non-volatile memories: Opportunities and challenges , 2011, 2011 Proceedings of the Ninth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
[21] Edwin Hsing-Mean Sha,et al. Balloonfish: Utilizing morphable resistive memory in mobile virtualization , 2015, The 20th Asia and South Pacific Design Automation Conference.
[22] Edwin Hsing-Mean Sha,et al. DR. Swap: Energy-efficient paging for smartphones , 2014, 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
[23] Yuan Xie,et al. Energy-efficient multi-level cell phase-change memory system with data encoding , 2011, 2011 IEEE 29th International Conference on Computer Design (ICCD).
[24] HuJingtong,et al. Write activity reduction on non-volatile main memories for embedded chip multiprocessors , 2013 .
[25] Seung-Yun Lee,et al. A Low Power Phase-Change Random Access Memory using a Data-Comparison Write Scheme , 2007, 2007 IEEE International Symposium on Circuits and Systems.
[26] Liang Shi,et al. Improving MLC PCM write throughput by write reconstruction , 2015, 2015 IEEE Non-Volatile Memory System and Applications Symposium (NVMSA).
[27] Tei-Wei Kuo,et al. A PCM translation layer for integrated memory and storage management , 2014, 2014 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
[28] Hyunjin Lee,et al. Flip-N-Write: A simple deterministic technique to improve PRAM write performance, energy and endurance , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[29] Edwin Hsing-Mean Sha,et al. Low Overhead Software Wear Leveling for Hybrid PCM + DRAM Main Memory on Embedded Systems , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[30] Tao Li,et al. Mercury: A fast and energy-efficient multi-level cell based Phase Change Memory system , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.
[31] Luis A. Lastras,et al. PreSET: Improving performance of phase change memories by exploiting asymmetry in write times , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).
[32] Onur Mutlu,et al. Efficient Data Mapping and Buffering Techniques for Multilevel Cell Phase-Change Memories , 2014, ACM Trans. Archit. Code Optim..
[33] Edwin Hsing-Mean Sha,et al. A space-based wear leveling for PCM-based embedded systems , 2013, 2013 IEEE 19th International Conference on Embedded and Real-Time Computing Systems and Applications.