Accuracy-Aware Power Management for Many-Core Systems Running Error-Resilient Applications

Power capping techniques based on dynamic voltage and frequency scaling (DVFS) and power gating (PG) are oriented toward power actuation, compromising on performance and energy. Inherent error resilience of emerging application domains, such as Internet-of-Things (IoT) and machine learning, provides opportunities for energy and performance gains. Leveraging accuracy-performance tradeoffs in such applications, we propose approximation (APPX) as another knob for close-looped power management, to complement power knobs with performance and energy gains. We design a power management framework, APPEND+, that can switch between accurate and approximate modes of execution subject to system throughput requirements. APPEND+ considers the sensitivity of the application to error to make disciplined alteration between levels of APPX such that performance is maximized while error is minimized. We implement a power management scheme that uses APPX, DVFS, and PG knobs hierarchically. We evaluated our proposed approach over machine learning and signal processing applications along with two case studies on IoT—early warning score system and fall detection. APPEND+ yields $1.9\times $ higher throughput, improved latency up to five times, better performance per energy, and dark silicon mitigation compared with the state-of-the-art power management techniques over a set of applications ranging from high to no error resilience.

[1]  Kevin Skadron,et al.  Dark vs. Dim Silicon and Near-Threshold Computing , 2013 .

[2]  Henry Hoffmann,et al.  Managing performance vs. accuracy trade-offs with loop perforation , 2011, ESEC/FSE '11.

[3]  Karthikeyan Sankaralingam,et al.  Dark Silicon and the End of Multicore Scaling , 2012, IEEE Micro.

[4]  Kevin Skadron,et al.  Implications of the Power Wall: Dim Cores and Reconfigurable Logic , 2013, IEEE Micro.

[5]  Kai Ma,et al.  PGCapping: Exploiting power gating for power capping and core lifetime balancing in CMPs , 2012, 2012 21st International Conference on Parallel Architectures and Compilation Techniques (PACT).

[6]  Hannu Tenhunen,et al.  Smart e-Health Gateway: Bringing intelligence to Internet-of-Things based ubiquitous healthcare systems , 2015, 2015 12th Annual IEEE Consumer Communications and Networking Conference (CCNC).

[7]  Michael C. Caramanis,et al.  Dynamic server power capping for enabling data center participation in power markets , 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[8]  Lieven Eeckhout,et al.  Sniper: Exploring the level of abstraction for scalable and accurate parallel multi-core simulation , 2011, 2011 International Conference for High Performance Computing, Networking, Storage and Analysis (SC).

[9]  Muhammad Shafique,et al.  Dark silicon as a challenge for hardware/software co-design , 2014, 2014 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

[10]  Sherief Reda,et al.  Pack & Cap: Adaptive DVFS and thread packing under power caps , 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[11]  Axel Jantsch,et al.  Dark silicon aware power management for manycore systems under dynamic workloads , 2014, 2014 IEEE 32nd International Conference on Computer Design (ICCD).

[12]  Hannu Tenhunen,et al.  Elderly Monitoring System with Sleep and Fall Detector , 2015, IoT 360.

[13]  Pradip Bose,et al.  Crank it up or dial it down: Coordinated multiprocessor frequency and folding control , 2013, 2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[14]  Woongki Baek,et al.  Green: a framework for supporting energy-conscious programming using controlled approximation , 2010, PLDI '10.

[15]  Simon Holmbacka,et al.  A task migration mechanism for distributed many-core operating systems , 2014, The Journal of Supercomputing.

[16]  Alan Edelman,et al.  PetaBricks: a language and compiler for algorithmic choice , 2009, PLDI '09.

[17]  Heba Khdr,et al.  TSP: Thermal Safe Power - Efficient power budgeting for many-core systems in dark silicon , 2014, 2014 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

[18]  Mingzhe Jiang,et al.  Fog Computing in Healthcare Internet of Things: A Case Study on ECG Feature Extraction , 2015, 2015 IEEE International Conference on Computer and Information Technology; Ubiquitous Computing and Communications; Dependable, Autonomic and Secure Computing; Pervasive Intelligence and Computing.

[19]  Henry Hoffmann,et al.  Dynamic knobs for responsive power-aware computing , 2011, ASPLOS XVI.

[20]  Vanchinathan Venkataramani,et al.  LOCUS: Low-Power Customizable Many-Core Architecture for Wearables , 2018, ACM Trans. Embed. Comput. Syst..

[21]  Sudeep Pasricha,et al.  VARSHA: Variation and reliability-aware application scheduling with adaptive parallelism in the dark-silicon era , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[22]  Hannu Tenhunen,et al.  Internet of Things Enabled In-Home Health Monitoring System Using Early Warning Score , 2015, IOT 2015.

[23]  Axel Jantsch,et al.  Reliability-Aware Runtime Power Management for Many-Core Systems in the Dark Silicon Era , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[24]  Axel Jantsch,et al.  Approximation knob: Power Capping meets energy efficiency , 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[25]  Hiroshi Nakamura,et al.  Power capping of CPU-GPU heterogeneous systems through coordinating DVFS and task mapping , 2013, 2013 IEEE 31st International Conference on Computer Design (ICCD).

[26]  Axel Jantsch,et al.  Dark silicon aware runtime mapping for many-core systems: A patterning approach , 2015, 2015 33rd IEEE International Conference on Computer Design (ICCD).

[27]  Axel Jantsch,et al.  MapPro: Proactive Runtime Mapping for Dynamic Workloads by Quantifying Ripple Effect of Applications on Networks-on-Chip , 2015, NOCS.

[28]  Axel Jantsch,et al.  Dynamic power management for many-core platforms in the dark silicon era: A multi-objective control approach , 2015, 2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).