Fault-tolerant 3D clock network

Clock tree synthesis is one of the most important and challenging problems in 3D ICs. The clock signals have to be delivered by through-silicon vias (TSVs) to different tiers with minimum skew and latency. While there are a few related works in literature, none of them considers the reliability of TSVs. Accordingly, the failure of any TSV in the clock tree yields a bad chip. The naive solution using double-TSV can alleviate the problem. But the significant area overhead renders it less practical for large designs. In this paper, we propose a novel TSV fault-tolerant unit (TFU) that can provide tolerance against TSV failures in a 3D clock network. It makes use of the existing 2D redundant trees designed for pre-bond testing, and thus has minimum area overhead. Compared to the double TSV technique, the 3D clock network constructed by our TFUs can achieve 61% area reduction with 3.9% yield rate improvement on an industrial case. To the best of the authors' knowledge, this is the first practical work in literature that considers the fault tolerance of a 3D clock network.

[1]  Arvind Kumar,et al.  Three-dimensional integrated circuits , 2006, IBM J. Res. Dev..

[2]  Luca Benini,et al.  Design Issues and Considerations for Low-Cost 3-D TSV IC Technology , 2010, IEEE Journal of Solid-State Circuits.

[3]  Jian Xu,et al.  Demystifying 3D ICs: the pros and cons of going vertical , 2005, IEEE Design & Test of Computers.

[4]  Jason Cong,et al.  Matching-based methods for high-performance clock routing , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[5]  Wayne P. Burleson,et al.  Low-power clock distribution in a multilayer core 3d microprocessor , 2008, GLSVLSI '08.

[6]  Taewhan Kim,et al.  Clock tree synthesis with pre-bond testability for 3D stacked IC Designs , 2010, Design Automation Conference.

[7]  K. Soejima,et al.  A 3D Packaging Technology for 4 Gbit Stacked DRAM with 3 Gbps Data Transfer , 2006, 2006 International Electron Devices Meeting.

[8]  Shih-Chieh Chang,et al.  An efficient phase detector connection structure for the skew synchronization system , 2010, Design Automation Conference.

[9]  Shih-Chieh Chang,et al.  Clock skew optimization considering complicated power modes , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[10]  So-Ra Kim,et al.  8Gb 3D DDR3 DRAM using through-silicon-via technology , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[11]  TingTing Hwang,et al.  TSV redundancy: Architecture and design issues in 3D IC , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[12]  Young-Hyun Jun,et al.  8 Gb 3-D DDR3 DRAM Using Through-Silicon-Via Technology , 2009, IEEE Journal of Solid-State Circuits.

[13]  Masato Edahiro,et al.  An Efficient Zero-Skew Routing Algorithm , 1994, 31st Design Automation Conference.

[14]  Hua Wang,et al.  Reliability issues in deep deep sub-micron technologies: time-dependent variability and its impact on embedded system design , 2007, 13th IEEE International On-Line Testing Symposium (IOLTS 2007).

[15]  Andrew B. Kahng,et al.  On the skew-bounded minimum-buffer routing tree problem , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[16]  Greg Link,et al.  Thermally robust clocking schemes for 3D integrated circuits , 2007 .

[17]  Narayanan Vijaykrishnan,et al.  Thermally Robust Clocking Schemes for 3D Integrated Circuits , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[18]  Hsien-Hsin S. Lee,et al.  A scanisland based design enabling prebond testability in die-stacked microprocessors , 2007, 2007 IEEE International Test Conference.

[19]  Luca Benini,et al.  A low-overhead fault tolerance scheme for TSV-based 3D network on chip links , 2008, ICCAD 2008.

[20]  Xin Zhao,et al.  Buffered clock tree synthesis for 3D ICs under thermal variations , 2008, 2008 Asia and South Pacific Design Automation Conference.

[21]  Shiyan Hu,et al.  Fast Algorithms for Slew-Constrained Minimum Cost Buffering , 2007, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[22]  Eby G. Friedman,et al.  Clock distribution networks for 3-D ictegrated Circuits , 2008, 2008 IEEE Custom Integrated Circuits Conference.

[23]  Hsien-Hsin S. Lee,et al.  Pre-bond testable low-power clock tree design for 3D stacked ICs , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[24]  Wing-Kai Hon,et al.  Value assignment of adjustable delay buffers for clock skew minimization in multi-voltage mode designs , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[25]  Kazumasa Tanida,et al.  Chip Scale Camera Module (CSCM) using Through-Silicon-Via (TSV) , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[26]  Paresh Limaye,et al.  Design issues and considerations for low-cost 3D TSV IC technology , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).