Thermally Composable Hybrid Application Mapping for Real-Time Applications in Heterogeneous Many-Core Systems
暂无分享,去创建一个
Jürgen Teich | Behnaz Pourmohseni | Heba Khdr | Fedor Smirnov | Stefan Wildermann | Jörg Henkel | J. Henkel | J. Teich | S. Wildermann | Heba Khdr | Fedor Smirnov | Behnaz Pourmohseni
[1] Heba Khdr,et al. Thermal Safe Power (TSP): Efficient Power Budgeting for Heterogeneous Manycore Systems in Dark Silicon , 2017, IEEE Transactions on Computers.
[2] Lionel M. Ni,et al. A survey of wormhole routing techniques in direct networks , 1993, Computer.
[3] Kees G. W. Goossens,et al. CoMPSoC: A template for composable and predictable multi-processor system on chips , 2009, TODE.
[4] Amit Kumar Singh,et al. Accelerating throughput-aware runtime mapping for heterogeneous MPSoCs , 2013, TODE.
[5] Heba Khdr,et al. Aging-Constrained Performance Optimization for Multi Cores , 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).
[6] Can Hankendi,et al. Scale & Cap , 2017, ACM Trans. Design Autom. Electr. Syst..
[7] William J. Dally,et al. Virtual-channel flow control , 1990, [1990] Proceedings. The 17th Annual International Symposium on Computer Architecture.
[8] Radu Marculescu,et al. Energy- and Performance-Aware Incremental Mapping for Networks on Chip With Multiple Voltage Levels , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[9] Santanu Chattopadhyay,et al. Thermal-Aware Application Mapping Strategy for Network-on-Chip Based System Design , 2018, IEEE Transactions on Computers.
[10] Fernando Gehm Moraes,et al. Scalability evaluation in many-core systems due to the memory organization , 2016, 2016 IEEE International Conference on Electronics, Circuits and Systems (ICECS).
[11] Peter J. Fleming,et al. An Overview of Evolutionary Algorithms in Multiobjective Optimization , 1995, Evolutionary Computation.
[12] Radu Marculescu,et al. Energy-aware communication and task scheduling for network-on-chip architectures under real-time constraints , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[13] C. D. Gelatt,et al. Optimization by Simulated Annealing , 1983, Science.
[14] Wayne H. Wolf. The future of multiprocessor systems-on-chips , 2004, Proceedings. 41st Design Automation Conference, 2004..
[15] Luca Benini,et al. Networks on chip: a new paradigm for systems on chip design , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.
[16] Xiaobo Sharon Hu,et al. Temperature-Aware Scheduling and Assignment for Hard Real-Time Applications on MPSoCs , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[17] Martin Lukasiewycz,et al. Opt4J: a modular framework for meta-heuristic optimization , 2011, GECCO '11.
[18] Kees G. W. Goossens,et al. Composability and Predictability for Independent Application Development, Verification, and Execution , 2011, Multiprocessor System-on-Chip.
[19] Petru Eles,et al. Steady-state dynamic temperature analysis and reliability optimization for embedded multiprocessor systems , 2012, DAC Design Automation Conference 2012.
[20] Coniferous softwood. GENERAL TERMS , 2003 .
[21] Bharadwaj Veeravalli,et al. Temperature aware energy-reliability trade-offs for mapping of throughput-constrained applications on multimedia MPSoCs , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[22] Michael Glaß,et al. Automatic operating point distillation for hybrid mapping methodologies , 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.
[23] Karl-Erik Årzén,et al. Resource Management on Multicore Systems: The ACTORS Approach , 2011, IEEE Micro.
[24] Fernando Gehm Moraes,et al. Distributed resource management in NoC-based MPSoCs with dynamic cluster sizes , 2013, 2013 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).
[25] Michael Glaß,et al. Hard real-time application mapping reconfiguration for NoC-based many-core systems , 2019, Real-Time Systems.
[26] Benoît Dupont de Dinechin,et al. A clustered manycore processor architecture for embedded and accelerated applications , 2013, 2013 IEEE High Performance Extreme Computing Conference (HPEC).
[27] Karthikeyan Sankaralingam,et al. Dark Silicon and the End of Multicore Scaling , 2012, IEEE Micro.
[28] Martin Lukasiewycz,et al. System simulation and optimization using reconfigurable hardware , 2014, 2014 International Symposium on Integrated Circuits (ISIC).
[29] Piotr Dziurzanski,et al. A Survey and Comparative Study of Hard and So Real-time Dynamic Resource Allocation Strategies for Multi / Many-core Systems , 2017 .
[30] Jürgen Teich,et al. Power Density-Aware Resource Management for Heterogeneous Tiled Multicores , 2017, IEEE Transactions on Computers.
[31] Vanchinathan Venkataramani,et al. Hierarchical power management for asymmetric multi-core in dark silicon era , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
[32] Kenli Li,et al. Energy-Aware Data Allocation and Task Scheduling on Heterogeneous Multiprocessor Systems With Time Constraints , 2014, IEEE Transactions on Emerging Topics in Computing.
[33] Pasi Liljeberg,et al. Smart hill climbing for agile dynamic mapping in many-core systems , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
[34] Hermann Kopetz,et al. Real-time systems , 2018, CSC '73.
[35] Michael Glaß,et al. DAARM: Design-time application analysis and run-time mapping for predictable execution in many-core systems , 2014, 2014 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
[36] 裕幸 飯田,et al. International Technology Roadmap for Semiconductors 2003の要求清浄度について - シリコンウエハ表面と雰囲気環境に要求される清浄度, 分析方法の現状について - , 2004 .
[37] Behnaz Pourmohseni,et al. Isolation-Aware Timing Analysis and Design Space Exploration for Predictable and Composable Many-Core Systems , 2019, ECRTS.
[38] Amit Kumar Singh,et al. Incorporating Energy and Throughput Awareness in Design Space Exploration and Run-Time Mapping for Heterogeneous MPSoCs , 2013, 2013 Euromicro Conference on Digital System Design.
[39] Muhammad Shafique,et al. The EDA challenges in the dark silicon era , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
[40] Kevin Skadron,et al. HotSpot: a compact thermal modeling methodology for early-stage VLSI design , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[41] Michael Glaß,et al. A Design-Time/Run-Time Application Mapping Methodology for Predictable Execution Time in MPSoCs , 2018, ACM Trans. Embed. Comput. Syst..
[42] Alena Simalatsar,et al. Near-optimal deployment of dataflow applications on many-core platforms with real-time guarantees , 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.
[43] Wolfgang Schröder-Preikschat,et al. DistRM: Distributed resource management for on-chip many-core systems , 2011, 2011 Proceedings of the Ninth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
[44] Timothy Mattson,et al. A 48-Core IA-32 message-passing processor with DVFS in 45nm CMOS , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).
[45] Iraklis Anagnostopoulos,et al. Distributed run-time resource management for malleable applications on many-core platforms , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
[46] Kalyanmoy Deb,et al. A fast and elitist multiobjective genetic algorithm: NSGA-II , 2002, IEEE Trans. Evol. Comput..
[47] Baoxin Zhao,et al. Adaptive power allocation for many-core systems inspired from multiagent auction model , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[48] Hamid R. Zarandi,et al. HYSTERY: a hybrid scheduling and mapping approach to optimize temperature, energy consumption and lifetime reliability of heterogeneous multiprocessor systems , 2018, The Journal of Supercomputing.