BTI-Gater: An Aging-Resilient Clock Gating Methodology

Negative- and positive bias temperature instability (N/PBTI) have become one of the most important reliability issues in modern semiconductor technology. N/PBTI-induced degradation depends heavily on workload, which causes imbalanced degradation and additional clock skew for clock distribution networks with clock gating features. In this work, we first analyze the effects of N/PBTI on clock paths with different clock gating use cases. Then cross-layer solutions are proposed to reduce N/PBTI-induced clock skew. Two integrated clock gating (ICG) cell circuits are proposed to alternate clock idle state between logic high and logic low for each clock gating operation. A skew mitigation methodology is also proposed to select the appropriate ICG cells based on the architecture and microarchitecture context. An example of sleep scheduling is also described as a simple software-level technique that can be used in conjunction with BTI-Gater to avoid certain pathological aging scenarios. Our experiments show that BTI-Gater can balance the gated clock branches to close to 50% signal duty ratio, while guaranteeing a glitch-free clock signal with easy-to-verify timing constraints. Results on commercial processors show that BTI-Gater can effectively reduce N/PBTI-induced clock skew of up to 17ps, which can be converted to up to 19.7% leakage power saving compared to pure design guardbanding.

[1]  P.J. Restle,et al.  Timing uncertainty measurements on the Power5 microprocessor , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).

[2]  Yu Cao,et al.  An Integrated Modeling Paradigm of Circuit Reliability for 65nm CMOS Technology , 2007, 2007 IEEE Custom Integrated Circuits Conference.

[3]  D. Schroder,et al.  Negative bias temperature instability: Road to cross in deep submicron silicon semiconductor manufacturing , 2003 .

[4]  Stephen P. Boyd,et al.  Self-Tuning for Maximized Lifetime Energy-Efficiency in the Presence of Circuit Aging , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[5]  Reinhold Weicker,et al.  Dhrystone: a synthetic systems programming benchmark , 1984, CACM.

[6]  Jason Cong,et al.  Behavior-Level Observability Analysis for Operation Gating in Low-Power Behavioral Synthesis , 2010, TODE.

[7]  J. Hicks 45nm Transistor Reliability , 2008 .

[8]  David Z. Pan,et al.  Analysis and optimization of NBTI induced clock skew in gated clock trees , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[9]  Yu Cao,et al.  Predictive Modeling of the NBTI Effect for Reliable Design , 2006, IEEE Custom Integrated Circuits Conference 2006.

[10]  Yu Cao,et al.  Statistical aging under dynamic voltage scaling: A logarithmic model approach , 2012, Proceedings of the IEEE 2012 Custom Integrated Circuits Conference.

[11]  David Z. Pan,et al.  Skew Management of NBTI Impacted Gated Clock Trees , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[12]  Mark Mohammad Tehranipoor,et al.  A novel flow for reducing clock skew considering NBTI effect and process variations , 2013, International Symposium on Quality Electronic Design (ISQED).

[13]  M. Sarrafzadeh,et al.  Activity-driven clock design for low power circuits , 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[14]  Luca Benini,et al.  Automatic synthesis of low-power gated-clock finite-state machines , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[15]  Yiran Chen,et al.  Deterministic clock gating for microprocessor power reduction , 2003, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..

[16]  K.A. Jenkins,et al.  A clock distribution network for microprocessors , 2000, 2000 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.00CH37103).

[17]  Wei Liu,et al.  NBTI effects on tree-like clock distribution networks , 2012, GLSVLSI '12.

[18]  Shih-Hsu Huang,et al.  Low-power anti-aging zero skew clock gating , 2013, TODE.

[19]  E. Mintarno,et al.  Workload dependent NBTI and PBTI analysis for a sub-45nm commercial microprocessor , 2013, 2013 IEEE International Reliability Physics Symposium (IRPS).

[20]  Robert C. Aitken,et al.  Low Power Methodology Manual - for System-on-Chip Design , 2007 .