High-resolution EUV imaging tools for resist exposure and aerial image monitoring

Key features are presented of two high-resolution EUV imaging tools: the MS-13 Microstepper wafer exposure and the RIM-13 reticle imaging microscope. The MS-13 has been developed for EUV resist testing and technology evaluation at the 32nm node and beyond, while the RIM-13 is designed for actinic aerial image monitoring of blank and patterned EUV reticles. Details of the design architecture, module layout, major subsystems and performance are presented for both tools.