Thermally aware performance analysis of single-walled carbon nanotube bundle as VLSI interconnects

A comparative performance analysis in terms of delay, power dissipation, power delay product (PDP), and crosstalk noise between SWCNT bundle interconnects with resistance estimated using conventionally (temperature independent model), and thermally aware model is investigated. The results are also compared with those of currently used copper interconnects at 22 nm technology node. It is observed that, with rise in temperature from 300 to 500 K, SWCNT bundles have a lower delay than that of copper interconnect at different lengths from 100 to $$1000\,\upmu \hbox {m}$$1000μm whereas reverse is true for power dissipation. The SPICE simulation results further reveal that for temperature variations ranging from 300 to 500 K, compared to conventional metal (copper) conductors, crosstalk noise voltage levels (positive peaks) in capacitively coupled SWCNT bundle, at the far end of victim line, are significantly low. Moreover, a relative average improvement in delay, power, and PDP using a thermally aware model in comparison with a temperature independent model is about 22.44, 7.59 and 31.96 %, respectively, with length variations from 100 to $$1000\,\upmu \hbox {m}$$1000μm, whereas for varied tube diameter is about 16.6, 5.6 and 19.72 %, respectively. The average relative improvement in the time duration reduction of victim output, for varied tube diameters, is about 21.7 % by using a thermally-aware model instead of a temperature-independent model of an SWCNT bundle resistance.

[1]  Mayank Kumar Rai,et al.  Temperature dependant crosstalk analysis in coupled single‐walled carbon nanotube (SWCNT) bundle interconnects , 2015, Int. J. Circuit Theory Appl..

[2]  K. Banerjee,et al.  Scaling analysis of multilevel interconnect temperatures for high-performance ICs , 2005, IEEE Transactions on Electron Devices.

[3]  Rajesh Khanna,et al.  Crosstalk analysis in CNT bundle interconnects for VLSI application , 2014 .

[4]  Shyh-Chyi Wong,et al.  Modeling of interconnect capacitance, delay, and crosstalk in VLSI , 2000 .

[5]  K. Banerjee,et al.  Circuit Modeling and Performance Analysis of Multi-Walled Carbon Nanotube Interconnects , 2008, IEEE Transactions on Electron Devices.

[6]  D. Rossi,et al.  Modeling Crosstalk Effects in CNT Bus Architectures , 2007, IEEE Transactions on Nanotechnology.

[7]  Kaushik Roy,et al.  Modeling of metallic carbon-nanotube interconnects for circuit simulations and a comparison with Cu interconnects for scaled technologies , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[8]  Mayank Kumar Rai,et al.  Influence of distance between adjacent tubes on SWCNT bundle interconnect delay and power dissipation , 2013 .

[9]  J. Meindl,et al.  Design and Performance Modeling for Single-Walled Carbon Nanotubes as Local, Semiglobal, and Global Interconnects in Gigascale Integrated Systems , 2007, IEEE Transactions on Electron Devices.

[10]  K. Banerjee,et al.  High-Frequency Analysis of Carbon Nanotube Interconnects and Implications for On-Chip Inductor Design , 2009, IEEE Transactions on Electron Devices.

[11]  G. Duesberg,et al.  Carbon nanotubes for interconnect applications , 2002, IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004..

[12]  P. Ajayan,et al.  Reliability and current carrying capacity of carbon nanotubes , 2001 .

[13]  P. McEuen,et al.  Electron-Phonon Scattering in Metallic Single-Walled Carbon Nanotubes , 2003, cond-mat/0309641.

[14]  M. Meyyappan,et al.  Bottom-up approach for carbon nanotube interconnects , 2003 .

[15]  P. McEuen,et al.  Single-walled carbon nanotube electronics , 2002 .

[16]  W. Steinhögl,et al.  Comprehensive study of the resistivity of copper wires with lateral dimensions of 100 nm and smaller , 2005 .

[17]  Brajesh Kumar Kaushik,et al.  Crosstalk Analysis for a CMOS-Gate-Driven Coupled Interconnects , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[18]  Hongjie Dai,et al.  Electro-thermal transport in metallic single-wall carbon nanotubes for interconnect applications , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..

[19]  K. Banerjee,et al.  A Comparative Scaling Analysis of Metallic and Carbon Nanotube Interconnections for Nanometer Scale VLSI Technologies , 2004 .

[20]  Arthur Nieuwoudt,et al.  Performance analysis of optimized carbon nanotube interconnect , 2008, 2008 IEEE International Symposium on Circuits and Systems.

[21]  James D. Meindl,et al.  Compact distributed RLC interconnect models - part IV: unified models for time delay, crosstalk, and repeater insertion , 2003 .

[22]  Rajeevan Chandel,et al.  Delay and Power Management of Voltage-Scaled Repeater Driven Long Interconnects , 2007 .

[23]  Amir Hosseini,et al.  Thermally-aware modeling and performance evaluation for single-walled carbon nanotube-based interconnects for future high performance integrated circuits , 2010 .

[24]  Mayank Kumar Rai,et al.  Influence of tube diameter on carbon nanotube interconnect delay and power output , 2011 .

[25]  Jing Guo,et al.  High-field quasiballistic transport in short carbon nanotubes. , 2003, Physical review letters.

[26]  Jose Mauricio Marulanda,et al.  Electronic Properties of Carbon Nanotubes , 2011 .

[27]  Kaustav Banerjee,et al.  Are carbon nanotubes the future of VLSI interconnections? , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[28]  David Blaauw,et al.  Modeling and analysis of crosstalk noise in coupled RLC interconnects , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[29]  P. Burke Luttinger liquid theory as a model of the gigahertz electrical properties of carbon nanotubes , 2002 .

[30]  Eric Pop,et al.  Negative differential conductance and hot phonons in suspended nanotube molecular wires. , 2005, Physical review letters.

[31]  D. Das,et al.  Analysis of Crosstalk in Single- and Multiwall Carbon Nanotube Interconnects and Its Impact on Gate Oxide Reliability , 2011, IEEE Transactions on Nanotechnology.

[32]  Brajesh Kumar Kaushik,et al.  Repeater insertion in crosstalk‐aware inductively and capacitively coupled interconnects , 2011, Int. J. Circuit Theory Appl..

[33]  Dekker,et al.  High-field electrical transport in single-wall carbon nanotubes , 1999, Physical review letters.

[34]  Q.H. Liu,et al.  Crosstalk Prediction of Single- and Double-Walled Carbon-Nanotube (SWCNT/DWCNT) Bundle Interconnects , 2009, IEEE Transactions on Electron Devices.

[35]  A. Majumdar,et al.  Thermal conductance and thermopower of an individual single-wall carbon nanotube. , 2005, Nano letters.

[36]  Youngsoo Shin,et al.  Analysis of power consumption in VLSI global interconnects , 2005, 2005 IEEE International Symposium on Circuits and Systems.

[37]  Eby G. Friedman,et al.  Power characteristics of inductive interconnect , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[38]  Kaustav Banerjee,et al.  Performance analysis of carbon nanotube interconnects for VLSI applications , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[39]  Brajesh Kumar Kaushik,et al.  Crosstalk analysis for a CMOS gate driven inductively and capacitively coupled interconnects , 2008, Microelectron. J..

[40]  Eby G. Friedman,et al.  Peak crosstalk noise estimation in CMOS VLSI circuits , 1999, ICECS'99. Proceedings of ICECS '99. 6th IEEE International Conference on Electronics, Circuits and Systems (Cat. No.99EX357).