Power Management Controller for Online Power Saving in Network-on-Chips

Growing chip integration density and increasing frequencies lead to tremendous leakage power and henceforth to chip heat problems. Power management is one possibility to reduce the power consumption and get the temperature problem under control. Current technology mainly focuses on power-gating techniques on basis of multi-core systems but leaving the network perspective out of scope. We provide a holistic concept, bringing together power-gating and frequency scaling techniques for network-on-chips. Following this, network static power consumption could be minimized without affecting the system performance. We present a light-weight power management controller for network-on-chips with online monitoring to optimize the power consumption of network resources. Our work comprises a hardware simulation model for design space exploration of varying technology specific parameters and an FPGS based prototype for verification. The power saving potential heavily depend on the network communication load. Our power controller adds only 2.1% of resources while 28.11% of the total power could be saved with clock gating.

[1]  Jonathan Rose,et al.  Measuring the Gap Between FPGAs and ASICs , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[2]  Carlos Carreras,et al.  Power Measurement Methodology for FPGA Devices , 2011, IEEE Transactions on Instrumentation and Measurement.

[3]  Kevin J. Nowka,et al.  Power gating with multiple sleep modes , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

[4]  Heba Khdr,et al.  Dark Silicon: From Computation to Communication , 2015, NOCS.

[5]  Jürgen Teich,et al.  The Invasive Network on Chip - A Multi-Objective Many-Core Communication Infrastructure , 2014, ARCS Workshops.

[6]  Muhammad Shafique,et al.  darkNoC: Designing energy-efficient network-on-chip with multi-Vt cells for dark silicon , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[7]  Xi Chen,et al.  Dynamic voltage and frequency scaling for shared resources in multicore processor designs , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

[8]  Sudhir K. Satpathy,et al.  Catnap: energy proportional multiple network-on-chip , 2013, ISCA.

[9]  Hoi-Jun Yoo,et al.  A 51mW 1.6GHz on-chip network for low-power heterogeneous SoC platform , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).

[10]  Radu Marculescu,et al.  An Optimal Control Approach to Power Management for Multi-Voltage and Frequency Islands Multiprocessor Platforms under Highly Variable Workloads , 2012, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip.

[11]  Robert C. Aitken,et al.  Low Power Methodology Manual - for System-on-Chip Design , 2007 .

[12]  Axel Jantsch,et al.  Dynamic power management for many-core platforms in the dark silicon era: A multi-objective control approach , 2015, 2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

[13]  Karam S. Chatha,et al.  A power and performance model for network-on-chip architectures , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[14]  Jan Heisswolf,et al.  A Scalable and Adaptive Network on Chip for Many-Core Architectures , 2014 .

[15]  Hiroshi Nakamura,et al.  Ultra Fine-Grained Run-Time Power Gating of On-chip Routers for CMPs , 2010, 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip.

[16]  Jürgen Becker,et al.  Rerouting: Scalable NoC self-optimization by distributed hardware-based connection reallocation , 2013, 2013 International Conference on Reconfigurable Computing and FPGAs (ReConFig).

[17]  Olivier Sentieys,et al.  A semiempirical model for wakeup time estimation in power-gated logic clusters , 2012, DAC Design Automation Conference 2012.

[18]  Hamid Sarbazi-Azad,et al.  An energy-efficient virtual channel power-gating mechanism for on-chip networks , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[19]  Olivier Sentieys,et al.  Wakeup Time and Wakeup Energy Estimation in Power-Gated Logic Clusters , 2011, 2011 24th Internatioal Conference on VLSI Design.

[20]  Hideharu Amano,et al.  Run-time power gating of on-chip routers using look-ahead routing , 2008, 2008 Asia and South Pacific Design Automation Conference.

[21]  Radu Marculescu,et al.  Design and Management of Voltage-Frequency Island Partitioned Networks-on-Chip , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[22]  William Fornaciari,et al.  A Power Gating Methodology to Aggressively Reduce Leakage Power in Networks-on-Chip Buffers , 2015 .

[23]  Qinru Qiu,et al.  Distributed task migration for thermal management in many-core systems , 2010, Design Automation Conference.