Hybrid Network-on-Chip Simulation

In this chapter, an efficient hybrid NoC simulation approach is presented that allows simulating communication delays equally accurate but in average much faster than on a cycle-by-cycle basis. This approach includes novel algorithmic and analytical techniques, which dynamically predict the transmission delays of messages considering the actual congestion in the NoC, routing information, packet lengths, and other parameters. According to these predictions, the simulation time may be automatically advanced in many cases, which drastically reduces the number of cycles the NoC simulator has to process. Furthermore, the integration of the proposed NoC simulation technique into the full-system simulator InvadeSIM is shown.

[1]  Jürgen Teich,et al.  NoC simulation in heterogeneous architectures for PGAS programming model , 2013, M-SCOPES.

[2]  Rudolf Hornig,et al.  An overview of the OMNeT++ simulation environment , 2008, Simutools 2008.

[3]  Nanning Zheng,et al.  HORNET: A Cycle-Level Multicore Simulator , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[4]  Jürgen Becker,et al.  A Scalable NoC Router Design Providing QoS Support Using Weighted Round Robin Scheduling , 2012, 2012 IEEE 10th International Symposium on Parallel and Distributed Processing with Applications.

[5]  Jürgen Teich,et al.  High performance network-on-chip simulation by interval-based timing predictions , 2017, ESTImedia.

[6]  Nan Jiang,et al.  A detailed and flexible cycle-accurate Network-on-Chip simulator , 2013, 2013 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

[7]  Martin Radetzki,et al.  Scalable parallel simulation of networks on chip , 2013, 2013 Seventh IEEE/ACM International Symposium on Networks-on-Chip (NoCS).

[8]  Thilo Pionteck,et al.  A cycle-accurate Network-on-Chip simulator with support for abstract task graph modeling , 2014, 2014 International Symposium on System-on-Chip (SoC).

[9]  Michael Glaß,et al.  ActorX10: an actor library for X10 , 2016, X10@PLDI.

[10]  Israel Cidon,et al.  NoCs simulation framework for OMNeT++ , 2011, Proceedings of the Fifth ACM/IEEE International Symposium.

[11]  Jürgen Teich,et al.  The Invasive Network on Chip - A Multi-Objective Many-Core Communication Infrastructure , 2014, ARCS Workshops.

[12]  Omer Khan,et al.  Darsim: A Parallel Cycle-Level NoC Simulator , 2010 .

[13]  Radu Marculescu,et al.  An Analytical Approach for Network-on-Chip Performance Analysis , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[14]  Salvatore Monteleone,et al.  Noxim: An open, extensible and cycle-accurate network on chip simulator , 2015, 2015 IEEE 26th International Conference on Application-specific Systems, Architectures and Processors (ASAP).

[15]  Niraj K. Jha,et al.  GARNET: A detailed on-chip network model inside a full-system simulator , 2009, 2009 IEEE International Symposium on Performance Analysis of Systems and Software.

[16]  Suyash Gupta,et al.  IMSuite: A benchmark suite for simulating distributed algorithms , 2015, J. Parallel Distributed Comput..

[17]  Lionel M. Ni,et al.  A survey of wormhole routing techniques in direct networks , 1993, Computer.

[18]  Natalie D. Enright Jerger,et al.  Sampling-based approaches to accelerate network-on-chip simulation , 2014, 2014 Eighth IEEE/ACM International Symposium on Networks-on-Chip (NoCS).

[19]  William J. Dally Virtual-Channel Flow Control , 1992, IEEE Trans. Parallel Distributed Syst..