Modeling flexible Networks On-Chip

The Multi-Processors Systems on a chip (MPSoC) era is bringing about many new challenges for systems design in terms of computation and communication subsystems complexity. Interconnection systems became a pivotal component of the overall design, providing designers with advanced communication features such a split transactions, atomic operations and security adds-on. Momentum is building behind Networks on-chip (NoC) as future on-chip interconnection technology. Networks on-chip role isabout to take over shared busses whose scalability properties are already a major bottleneck for system design. Modeling of on-chip network is an exacting work; networks models must be fast, accurate and they have to sport standard interfaces. The main contributions of this work to networks on-chip design and implementation are: (1) the development of a brand new, full-edged network on-chip simulator based on OCCN, an open-source framework for NoC modeling developed within sourceforge available at http://occn.sourceforge.net, (2) the successfull integration of heterogeneous simulation environments in extremely complex platforms used to benchmark real STMicroelectronics SoC and (3) a thorough understanding and contribution to the design of STNoC—, the new interconnection technology developed within AST Grenoble lab of STMicroelectronics for future generation systems. The modeling environment has been used to benchmark two STMicroelectronics systems on-chip for High Definition digital Television (HDTV).

[1]  Bruce S. Davie,et al.  Computer Networks: A Systems Approach, 3rd Edition , 2003 .

[2]  Alain Greiner,et al.  A generic architecture for on-chip packet-switched interconnections , 2000, DATE '00.

[3]  Srinivasan Murali,et al.  SUNMAP: a tool for automatic topology selection and generation for NoCs , 2004, Proceedings. 41st Design Automation Conference, 2004..

[4]  Pierre Guerrier,et al.  Un réseau d'Interconnexion pour systèmes Intégrés , 2000 .

[5]  Sharad Malik,et al.  A hierarchical modeling framework for on-chip communication architectures [SOC] , 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

[6]  Bjarne Stroustrup,et al.  The C++ Programming Language: Special Edition , 2000 .

[7]  Jie Wu Fault-Tolerant Adaptive and Minimal Routing in Mesh-Connected Multicomputers Using Extended Safety Levels , 2000, IEEE Trans. Parallel Distributed Syst..

[8]  Randall Hyde,et al.  The Art of Assembly Language , 2003 .

[9]  G.E. Moore,et al.  Cramming More Components Onto Integrated Circuits , 1998, Proceedings of the IEEE.

[10]  D. Gajski,et al.  Transaction Level Modeling in System Level Design , 2003 .

[11]  Thorsten Grotker,et al.  System Design with SystemC , 2002 .

[12]  Thomas N. Theis,et al.  The future of interconnection technology , 2000, IBM J. Res. Dev..

[13]  Jean-Luc Dekeyser,et al.  SOAP Based Distributed Simulation Environment for System-on-Chip (SoC) Design , 2005 .

[14]  Srivaths Ravi,et al.  Security as a new dimension in embedded system design , 2004, Proceedings. 41st Design Automation Conference, 2004..

[15]  Kees G. W. Goossens,et al.  An efficient on-chip network interface offering guaranteed services, shared-memory abstraction, and flexible network configuration , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[16]  Robert Love,et al.  Linux Kernel Development , 2003 .

[17]  Gianluca Palermo,et al.  PIRATE: A Framework for Power/Performance Exploration of Network-on-Chip Architectures , 2004, PATMOS.

[18]  Henry Hoffmann,et al.  The Raw Microprocessor: A Computational Fabric for Software Circuits and General-Purpose Programs , 2002, IEEE Micro.

[19]  Axel Jantsch,et al.  Networks on chip , 2003 .

[20]  Rudy Lauwereins,et al.  Networks on Chip as Hardware Components of an OS for Reconfigurable Systems , 2003, FPL.

[21]  Axel Jantsch,et al.  Evaluating NoC communication backbones with simulation , 2003 .

[22]  Kurt Keutzer,et al.  A global wiring paradigm for deep submicron design , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[23]  William J. Dally,et al.  Flit-reservation flow control , 2000, Proceedings Sixth International Symposium on High-Performance Computer Architecture. HPCA-6 (Cat. No.PR00550).

[24]  David R. Keppel,et al.  Tools and Techniques for Building Fast Portable Threads Packages , 1993 .

[25]  Axel Jantsch,et al.  A network on chip architecture and design methodology , 2002, Proceedings IEEE Computer Society Annual Symposium on VLSI. New Paradigms for VLSI Systems Design. ISVLSI 2002.

[26]  Lionel M. Ni,et al.  Design of scalable and multicast capable cut-through switches for high-speed LANs , 1997, Proceedings of the 1997 International Conference on Parallel Processing (Cat. No.97TB100162).

[27]  K. Keutzer,et al.  System-level design: orthogonalization of concerns andplatform-based design , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[28]  Nikil D. Dutt,et al.  Constraint-driven bus matrix synthesis for MPSoC , 2006, Asia and South Pacific Conference on Design Automation, 2006..

[29]  Robert Michael Kirby,et al.  Parallel Scientific Computing in C++ and MPI - A Seamless Approach to Parallel Algorithms and their Implementation , 2003 .

[30]  Alberto L. Sangiovanni-Vincentelli,et al.  Addressing the system-on-a-chip interconnect woes through communication-based design , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[31]  Alberto L. Sangiovanni-Vincentelli,et al.  Interface-based design , 1997, DAC.

[32]  Marshall T. Rose,et al.  The Open book - a practical perspective on OSI , 1990 .

[33]  David A. Patterson,et al.  Computer Architecture: A Quantitative Approach , 1969 .

[34]  Luca Benini,et al.  ×pipesCompiler: A Tool for Instantiating Application Specific Networks on Chip , 2004, DATE.