System Level Methodology for Interconnect Aware and Temperature Constrained Power Management of 3-D MP-SOCs
暂无分享,去创建一个
[1] Eby G. Friedman,et al. 3-D Topologies for Networks-on-Chip , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[2] K.C. Saraswat,et al. Thermal analysis of heterogeneous 3D ICs with various integration scenarios , 2001, International Electron Devices Meeting. Technical Digest (Cat. No.01CH37224).
[3] Gabriel H. Loh,et al. 3D-Stacked Memory Architectures for Multi-core Processors , 2008, 2008 International Symposium on Computer Architecture.
[4] Amir Zjajo,et al. Thermal analysis of 3D integrated circuits based on discontinuous Galerkin finite element method , 2012, Thirteenth International Symposium on Quality Electronic Design (ISQED).
[5] Hannu Tenhunen,et al. CMIT — A novel cluster-based topology for 3D stacked architectures , 2010, 2010 IEEE International 3D Systems Integration Conference (3DIC).
[6] Krisztián Flautner,et al. PicoServer: using 3D stacking technology to enable a compact energy efficient chip multiprocessor , 2006, ASPLOS XII.
[7] Li Shang,et al. ThermalScope: Multi-scale thermal analysis for nanometer-scale integrated circuits , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.
[8] Vanish Talwar,et al. No "power" struggles: coordinated multi-level power management for the data center , 2008, ASPLOS.
[9] Li Shang,et al. Three-Dimensional Chip-Multiprocessor Run-Time Thermal Management , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[10] P. Soussan,et al. Comprehensive analysis of the impact of single and arrays of through silicon vias induced stress on high-k / metal gate CMOS performance , 2010, 2010 International Electron Devices Meeting.
[11] Sung Kyu Lim,et al. Full-chip TSV-to-TSV coupling analysis and optimization in 3D IC , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
[12] F. Mondon,et al. Current trends in the electrical characterization of low-k dielectrics , 1999, CAS '99 Proceedings. 1999 International Semiconductor Conference (Cat. No.99TH8389).
[13] Sumeet S. Kumar,et al. A 3D Network-on-Chip for stacked-die transactional chip multiprocessors using Through Silicon Vias , 2011, 2011 6th International Conference on Design & Technology of Integrated Systems in Nanoscale Era (DTIS).
[14] Mahmut T. Kandemir,et al. Design and Management of 3D Chip Multiprocessors Using Network-in-Memory , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).
[15] Li Shang,et al. Three-dimensional multiprocessor system-on-chip thermal optimization , 2007, 2007 5th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
[16] Todd M. Austin,et al. SimpleScalar: An Infrastructure for Computer System Modeling , 2002, Computer.
[17] Radhika Sanjeev Jagtap,et al. A Methodology for Early Exploration of TSV Placement Topologies in 3D Stacked ICs , 2012, 2012 15th Euromicro Conference on Digital System Design.
[18] David Atienza,et al. 3D-ICE: Fast compact transient thermal modeling for 3D ICs with inter-tier liquid cooling , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[19] Trevor Mudge,et al. MiBench: A free, commercially representative embedded benchmark suite , 2001 .
[20] Hannu Tenhunen,et al. Compact modelling of Through-Silicon Vias (TSVs) in three-dimensional (3-D) integrated circuits , 2009, 2009 IEEE International Conference on 3D System Integration.
[21] Zhihong Huang,et al. Thermal modeling and design of 3D integrated circuits , 2008, 2008 11th Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems.
[22] Meeta Sharma Gupta,et al. System level analysis of fast, per-core DVFS using on-chip switching regulators , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.
[23] Xiaorui Wang,et al. Cluster-level feedback power control for performance optimization , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.
[24] Jason Cong,et al. A thermal-driven floorplanning algorithm for 3D ICs , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..
[25] Pinaki Mazumder,et al. Fast thermal analysis for VLSI circuits via semi-analytical Green's function in multi-layer materials , 2004, 2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).
[26] Margaret Martonosi,et al. Wattch: a framework for architectural-level power analysis and optimizations , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).
[27] David Atienza,et al. Thermal analysis and active cooling management for 3D MPSoCs , 2011, ISCAS.
[28] R. van Leuken,et al. Temperature constrained power management scheme for 3D MPSoC , 2012, 2012 IEEE 16th Workshop on Signal and Power Integrity (SPI).
[29] E. Friedman,et al. Closed-Form Expressions of 3-D Via Resistance, Inductance, and Capacitance , 2009, IEEE Transactions on Electron Devices.
[30] Junho Lee,et al. High-Frequency Scalable Electrical Model and Analysis of a Through Silicon Via (TSV) , 2011, IEEE Transactions on Components, Packaging and Manufacturing Technology.
[31] Mahmut T. Kandemir,et al. Optimal topology exploration for application-specific 3D architectures , 2006, Asia and South Pacific Conference on Design Automation, 2006..
[32] Jason Cong,et al. An automated design flow for 3D microarchitecture evaluation , 2006, Asia and South Pacific Conference on Design Automation, 2006..
[33] Yu Wang,et al. Three-dimensional integrated circuits (3D IC) Floorplan and Power/Ground Network Co-synthesis , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).
[34] R. S. Jagtap,et al. A Methodology for Early Exploration of TSV Interconnects in 3D Stacked ICs , 2011 .
[35] Kai Ma,et al. Adaptive Power Control with Online Model Estimation for Chip Multiprocessors , 2011, IEEE Transactions on Parallel and Distributed Systems.
[36] Charlie Chung-Ping Chen,et al. 3-D Thermal-ADI: a linear-time chip level transient thermal simulator , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[37] Diana Marculescu,et al. Analysis of dynamic voltage/frequency scaling in chip-multiprocessors , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).
[38] Narayanan Vijaykrishnan,et al. Interconnect and thermal-aware floorplanning for 3D microprocessors , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).