Power Optimization Using Clock Gating and Power Gating: A Review
暂无分享,去创建一个
[1] Srinivas Katkoori,et al. State-Retentive Power Gating of Register Files in Multicore Processors Featuring Multithreaded In-Order Cores , 2011, IEEE Transactions on Computers.
[2] Sandeep K. Shukla,et al. The Model Checking View to Clock Gating and Operand Isolation , 2010, 2010 10th International Conference on Application of Concurrency to System Design.
[3] Chong-Min Kyung,et al. Temperature-Aware Integrated DVFS and Power Gating for Executing Tasks With Runtime Distribution , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[4] Rahul M. Rao,et al. Power optimization methodology for the IBM POWER7 microprocessor , 2011 .
[5] Manish Gupta,et al. Power-Aware Microarchitecture: Design and Modeling Challenges for Next-Generation Microprocessors , 2000, IEEE Micro.
[6] Luca Benini,et al. Clock-tree power optimization based on RTL clock-gating , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[7] Pradip Bose,et al. A case for guarded power gating for multi-core processors , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.
[8] Rupesh S. Shelar. A Fast and Near-Optimal Clustering Algorithm for Low-Power Clock Tree Synthesis , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[9] Rung-Bin Lin,et al. Clock gating optimization with delay-matching , 2011, 2011 Design, Automation & Test in Europe.
[10] Hiroshi Nakamura,et al. Stepwise sleep depth control for run-time leakage power saving , 2012, GLSVLSI '12.
[11] Sarma B. K. Vrudhula,et al. Energy-Efficient Operation of Multicore Processors by DVFS, Task Migration, and Active Cooling , 2014, IEEE Transactions on Computers.
[12] Tsai-Ming Hsieh,et al. Clock tree construction using gated clock cloning , 2012, 2012 4th Asia Symposium on Quality Electronic Design (ASQED).
[13] Samuel Naffziger,et al. An x86-64 core implemented in 32nm SOI CMOS , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).
[14] Wei Wang,et al. SeSCG: Selective sequential clock gating for ultra-low-power multimedia mobile processor design , 2010, 2010 IEEE International Conference on Electro/Information Technology.
[15] Li Li,et al. Automatic Register Transfer level CAD tool design for advanced clock gating and low power schemes , 2012, 2012 International SoC Design Conference (ISOCC).
[16] Gerhard Wellein,et al. Exploring performance and power properties of modern multi‐core chips via simple machine models , 2012, Concurr. Comput. Pract. Exp..
[17] Jinson Koppanalil,et al. A 1.6 GHz dual-core ARM Cortex A9 implementation on a low power high-K metal gate 32nm process , 2011, Proceedings of 2011 International Symposium on VLSI Design, Automation and Test.
[18] Hyesoon Kim,et al. An integrated GPU power and performance model , 2010, ISCA.
[19] Anantha Chandrakasan,et al. A Resolution-Reconfigurable 5-to-10-Bit 0.4-to-1 V Power Scalable SAR ADC for Sensor Applications , 2013, IEEE Journal of Solid-State Circuits.
[20] Klaus D. McDonald-Maier,et al. Analytical Evaluation of Energy and Throughput for Multilevel Caches , 2010, 2010 12th International Conference on Computer Modelling and Simulation.
[21] Shih-Hsu Huang,et al. High-Level Synthesis for Minimum-Area Low-Power Clock Gating , 2012, J. Inf. Sci. Eng..